Home CONNECT Note (5) - AXI4 Stream Interface
Post
Cancel

CONNECT Note (5) - AXI4 Stream Interface

Source code: link.

AXI4-Stream In Flits

Protocol spec: AXI4-Stream.

T Channel

1
2
3
4
5
6
7
tuser - [100 : 93]
tdest - [ 92 : 89]
tid   - [ 88 : 81]
tlast - [ 80 : 80]
tkeep - [ 79 : 72]
tstrb - [ 71 : 64]
tdata - [ 63 :  0]

Updated on 06/10, we need to unify AXI4-Stream and AXI4 id/user field.

1
2
3
4
5
6
7
tlast - [100 :100]
tkeep - [ 99 : 92]
tstrb - [ 91 : 84]
tdata - [ 83 : 20]
tdest - [ 19 : 16]
tuser - [ 15 :  8]
tid   - [  7 :  0]

Bridge Design

Different from the implementation of AXI4 protocol that each device requires both in and out ports of the network, each device of AXI4-Stream only needs one in port or one out port.

AXI4:

1
2
3
4
5
6
7
+--------+            +------------+             +-------------+            +---------+
| Device | <--AXI4--> | AXI4Bridge | <--flits--> | InPortFIFO  | <--flits-->|         |
+--------+            +------------+             +-------------+            |         |
                            ^                                               | Network |
                            |                    +-------------+            |         |
                            +-----------flits--> | OutPortFIFO | <--flits-->|         |
                                                 +-------------+            +---------+

AXI4-Stream:

1
2
3
4
5
6
7
+---------------+                   +------------+             +-------------+            +---------+
| Master Device | <--AXI4-Stream--> | AXI4Bridge | <--flits--> | InPortFIFO  | <--flits-->|         |
+---------------+                   +------------+             +-------------+            |         |
                                                                                          | Network |
+---------------+                   +------------+             +-------------+            |         |
| Slave  Device | <--AXI4-Stream--> | AXI4Bridge | <--flits--> | OutPortFIFO | <--flits-->|         |
+---------------+                   +------------+             +-------------+            +---------+

Test

See testbench_sample_axi4stream.sv for test bench.

FIFOs Used In Tests

  1. Chisel3 FIFO.

  2. Intel FPGA FIFO IP.

Result (Chisel3 FIFO)

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
# ---- Performing Reset ----
#     9: Port 0 send flit 2a00400ffffdeadbeef00000000 (tail=0 dst=2 vc=1)
#     9: Device 0 send flit 2a00400ffffdeadbeef00000000
#     9: [m0- t] addr=deadbeef00000000 dest=2
#    10: Port 0 send flit 2a00400ffffdeadbeef00000001 (tail=0 dst=2 vc=1)
#    10: Port 1 send flit 2e00602ffffdeadbeef00000000 (tail=0 dst=3 vc=1)
#    10: Port 0 get a credit (vc=1)
#    10: Device 0 send flit 2a00400ffffdeadbeef00000001
#    10: Device 1 send flit 2e00602ffffdeadbeef00000000
#    10: [m0- t] addr=deadbeef00000001 dest=2
#    10: [m1- t] addr=deadbeef00000000 dest=3
#    11: Port 0 send flit 2a00400ffffdeadbeef00000002 (tail=0 dst=2 vc=1)
#    11: Port 1 send flit 2e00602ffffdeadbeef00000001 (tail=0 dst=3 vc=1)
#    11: Port 2 send flit 2200002ffffdeadbeef00000000 (tail=0 dst=0 vc=1)
#    11: Port 0 get a credit (vc=1)
#    11: Device 0 send flit 2a00400ffffdeadbeef00000002
#    11: Device 1 send flit 2e00602ffffdeadbeef00000001
#    11: Device 2 send flit 2200002ffffdeadbeef00000000
#    11: [m0- t] addr=deadbeef00000002 dest=2
#    11: [m1- t] addr=deadbeef00000001 dest=3
#    12: Port 0 send flit 2a00400ffffdeadbeef00000003 (tail=0 dst=2 vc=1)
#    12: Port 1 send flit 2e00602ffffdeadbeef00000002 (tail=0 dst=3 vc=1)
#    12: Port 2 send flit 2200002ffffdeadbeef00000001 (tail=0 dst=0 vc=1)
#    12: Port 3 send flit 2600202ffffdeadbeef00000000 (tail=0 dst=1 vc=1)
#    12: Port 2 recv flit 2a00400ffffdeadbeef00000000 (tail=0 dst=2 vc=1) 
#    12: Port 0 get a credit (vc=1)
#    12: Port 1 get a credit (vc=1)
#    12: Port 2 get a credit (vc=1)
#    12: Port 2 put a credit (vc=1)
#    12: Device 0 send flit 2a00400ffffdeadbeef00000003
#    12: Device 1 send flit 2e00602ffffdeadbeef00000002
#    12: Device 2 send flit 2200002ffffdeadbeef00000001
#    12: Device 3 send flit 2600202ffffdeadbeef00000000
#    12: Device 2 recv flit 2a00400ffffdeadbeef00000000
#    12: [m0- t] addr=deadbeef00000003 dest=2
#    12: [m1- t] addr=deadbeef00000002 dest=3
#    13: Port 0 send flit 2a00400ffffdeadbeef00000004 (tail=0 dst=2 vc=1)
#    13: Port 1 send flit 2e00602ffffdeadbeef00000003 (tail=0 dst=3 vc=1)
#    13: Port 2 send flit 2200002ffffdeadbeef00000002 (tail=0 dst=0 vc=1)
#    13: Port 3 send flit 2600202ffffdeadbeef00000001 (tail=0 dst=1 vc=1)
#    13: Port 0 get a credit (vc=1)
#    13: Port 1 get a credit (vc=1)
#    13: Port 2 get a credit (vc=1)
#    13: Port 3 get a credit (vc=1)
#    13: Device 0 send flit 2a00400ffffdeadbeef00000004
#    13: Device 1 send flit 2e00602ffffdeadbeef00000003
#    13: Device 2 send flit 2200002ffffdeadbeef00000002
#    13: Device 3 send flit 2600202ffffdeadbeef00000001
#    13: [m0- t] addr=deadbeef00000004 dest=2
#    13: [m1- t] addr=deadbeef00000003 dest=3
#    14: Port 0 send flit 2a00400ffffdeadbeef00000005 (tail=0 dst=2 vc=1)
#    14: Port 1 send flit 2e00602ffffdeadbeef00000004 (tail=0 dst=3 vc=1)
#    14: Port 2 send flit 2200002ffffdeadbeef00000003 (tail=0 dst=0 vc=1)
#    14: Port 3 send flit 2600202ffffdeadbeef00000002 (tail=0 dst=1 vc=1)
#    14: Port 0 recv flit 2200002ffffdeadbeef00000000 (tail=0 dst=0 vc=1) 
#    14: Port 3 recv flit 2e00602ffffdeadbeef00000000 (tail=0 dst=3 vc=1) 
#    14: Port 0 get a credit (vc=1)
#    14: Port 3 get a credit (vc=1)
#    14: Port 0 put a credit (vc=1)
#    14: Port 3 put a credit (vc=1)
#    14: Device 0 send flit 2a00400ffffdeadbeef00000005
#    14: Device 1 send flit 2e00602ffffdeadbeef00000004
#    14: Device 2 send flit 2200002ffffdeadbeef00000003
#    14: Device 3 send flit 2600202ffffdeadbeef00000002
#    14: Device 0 recv flit 2200002ffffdeadbeef00000000
#    14: Device 3 recv flit 2e00602ffffdeadbeef00000000
#    14: [m0- t] addr=deadbeef00000005 dest=2
#    14: [m1- t] addr=deadbeef00000004 dest=3
#    15: Port 0 send flit 2a00400ffffdeadbeef00000006 (tail=0 dst=2 vc=1)
#    15: Port 1 send flit 2e00602ffffdeadbeef00000005 (tail=0 dst=3 vc=1)
#    15: Port 2 send flit 2200002ffffdeadbeef00000004 (tail=0 dst=0 vc=1)
#    15: Port 3 send flit 2600202ffffdeadbeef00000003 (tail=0 dst=1 vc=1)
#    15: Port 0 recv flit 2200002ffffdeadbeef00000001 (tail=0 dst=0 vc=1) 
#    15: Port 1 recv flit 2600202ffffdeadbeef00000000 (tail=0 dst=1 vc=1) 
#    15: Port 2 recv flit 2a00400ffffdeadbeef00000001 (tail=0 dst=2 vc=1) 
#    15: Port 3 recv flit 2e00602ffffdeadbeef00000001 (tail=0 dst=3 vc=1) 
#    15: Port 0 get a credit (vc=1)
#    15: Port 1 get a credit (vc=1)
#    15: Port 3 get a credit (vc=1)
#    15: Port 1 put a credit (vc=1)
#    15: Port 2 put a credit (vc=1)
#    15: Device 0 send flit 2a00400ffffdeadbeef00000006
#    15: Device 1 send flit 2e00602ffffdeadbeef00000005
#    15: Device 2 send flit 2200002ffffdeadbeef00000004
#    15: Device 3 send flit 2600202ffffdeadbeef00000003
#    15: Device 1 recv flit 2600202ffffdeadbeef00000000
#    15: Device 2 recv flit 2a00400ffffdeadbeef00000001
#    15: [m0- t] addr=deadbeef00000006 dest=2
#    15: [m1- t] addr=deadbeef00000005 dest=3
#    15: [s0- t] addr=deadbeef00000000 dest=0
#    16: Port 0 send flit 2a00400ffffdeadbeef00000007 (tail=0 dst=2 vc=1)
#    16: Port 1 send flit 2e00602ffffdeadbeef00000006 (tail=0 dst=3 vc=1)
#    16: Port 2 send flit 2200002ffffdeadbeef00000005 (tail=0 dst=0 vc=1)
#    16: Port 3 send flit 2600202ffffdeadbeef00000004 (tail=0 dst=1 vc=1)
#    16: Port 1 recv flit 2600202ffffdeadbeef00000001 (tail=0 dst=1 vc=1) 
#    16: Port 1 get a credit (vc=1)
#    16: Port 2 get a credit (vc=1)
#    16: Port 3 get a credit (vc=1)
#    16: Port 0 put a credit (vc=1)
#    16: Port 3 put a credit (vc=1)
#    16: Device 0 send flit 2a00400ffffdeadbeef00000007
#    16: Device 1 send flit 2e00602ffffdeadbeef00000006
#    16: Device 2 send flit 2200002ffffdeadbeef00000005
#    16: Device 3 send flit 2600202ffffdeadbeef00000004
#    16: Device 0 recv flit 2200002ffffdeadbeef00000001
#    16: Device 3 recv flit 2e00602ffffdeadbeef00000001
#    16: [m0- t] addr=deadbeef00000007 dest=2
#    16: [m1- t] addr=deadbeef00000006 dest=3
#    16: [s1- t] addr=deadbeef00000000 dest=1
#    17: Port 0 send flit 2a00400ffffdeadbeef00000008 (tail=0 dst=2 vc=1)
#    17: Port 1 send flit 2e00602ffffdeadbeef00000007 (tail=0 dst=3 vc=1)
#    17: Port 2 send flit 2200002ffffdeadbeef00000006 (tail=0 dst=0 vc=1)
#    17: Port 3 send flit 2600202ffffdeadbeef00000005 (tail=0 dst=1 vc=1)
#    17: Port 3 recv flit 2e00602ffffdeadbeef00000002 (tail=0 dst=3 vc=1) 
#    17: Port 3 get a credit (vc=1)
#    17: Port 1 put a credit (vc=1)
#    17: Device 0 send flit 2a00400ffffdeadbeef00000008
#    17: Device 1 send flit 2e00602ffffdeadbeef00000007
#    17: Device 2 send flit 2200002ffffdeadbeef00000006
#    17: Device 3 send flit 2600202ffffdeadbeef00000005
#    17: Device 1 recv flit 2600202ffffdeadbeef00000001
#    17: [m0- t] addr=deadbeef00000008 dest=2
#    17: [m1- t] addr=deadbeef00000007 dest=3
#    17: [s0- t] addr=deadbeef00000001 dest=0
#    18: Port 0 send flit 2a00400ffffdeadbeef00000009 (tail=0 dst=2 vc=1)
#    18: Port 3 send flit 2600202ffffdeadbeef00000006 (tail=0 dst=1 vc=1)
#    18: Port 0 recv flit 2200002ffffdeadbeef00000002 (tail=0 dst=0 vc=1) 
#    18: Port 1 recv flit 2600202ffffdeadbeef00000002 (tail=0 dst=1 vc=1) 
#    18: Port 2 recv flit 2a00400ffffdeadbeef00000002 (tail=0 dst=2 vc=1) 
#    18: Port 3 recv flit 2e00602ffffdeadbeef00000003 (tail=0 dst=3 vc=1) 
#    18: Port 0 get a credit (vc=1)
#    18: Port 1 get a credit (vc=1)
#    18: Port 3 get a credit (vc=1)
#    18: Port 0 put a credit (vc=1)
#    18: Port 2 put a credit (vc=1)
#    18: Port 3 put a credit (vc=1)
#    18: Device 0 send flit 2a00400ffffdeadbeef00000009
#    18: Device 1 send flit 2e00602ffffdeadbeef00000008
#    18: Device 2 send flit 2200002ffffdeadbeef00000007
#    18: Device 3 send flit 2600202ffffdeadbeef00000006
#    18: Device 0 recv flit 2200002ffffdeadbeef00000002
#    18: Device 2 recv flit 2a00400ffffdeadbeef00000002
#    18: Device 3 recv flit 2e00602ffffdeadbeef00000002
#    18: [m0- t] addr=deadbeef00000009 dest=2
#    18: [m1- t] addr=deadbeef00000008 dest=3
#    18: [s1- t] addr=deadbeef00000001 dest=1
#    19: Port 0 send flit 2a00400ffffdeadbeef0000000a (tail=0 dst=2 vc=1)
#    19: Port 1 send flit 2e00602ffffdeadbeef00000008 (tail=0 dst=3 vc=1)
#    19: Port 3 send flit 2600202ffffdeadbeef00000007 (tail=0 dst=1 vc=1)
#    19: Port 1 recv flit 2600202ffffdeadbeef00000003 (tail=0 dst=1 vc=1) 
#    19: Port 1 get a credit (vc=1)
#    19: Port 2 get a credit (vc=1)
#    19: Port 3 get a credit (vc=1)
#    19: Port 1 put a credit (vc=1)
#    19: Device 0 send flit 2a00400ffffdeadbeef0000000a
#    19: Device 1 send flit 2e00602ffffdeadbeef00000009
#    19: Device 2 send flit 2200002ffffdeadbeef00000008
#    19: Device 3 send flit 2600202ffffdeadbeef00000007
#    19: Device 1 recv flit 2600202ffffdeadbeef00000002
#    19: [m0- t] addr=deadbeef0000000a dest=2
#    19: [m1- t] addr=deadbeef00000009 dest=3
#    19: [s0- t] addr=deadbeef00000002 dest=0
#    20: Port 1 send flit 2e00602ffffdeadbeef00000009 (tail=0 dst=3 vc=1)
#    20: Port 2 send flit 2200002ffffdeadbeef00000007 (tail=0 dst=0 vc=1)
#    20: Port 3 send flit 2600202ffffdeadbeef00000008 (tail=0 dst=1 vc=1)
#    20: Port 3 recv flit 2e00602ffffdeadbeef00000004 (tail=0 dst=3 vc=1) 
#    20: Port 3 get a credit (vc=1)
#    20: Port 3 put a credit (vc=1)
#    20: Device 0 send flit 2a00400ffffdeadbeef0000000b
#    20: Device 1 send flit 2e00602ffffdeadbeef0000000a
#    20: Device 2 send flit 2200002ffffdeadbeef00000009
#    20: Device 3 send flit 2600202ffffdeadbeef00000008
#    20: Device 3 recv flit 2e00602ffffdeadbeef00000003
#    20: [m0- t] addr=deadbeef0000000b dest=2
#    20: [m1- t] addr=deadbeef0000000a dest=3
#    20: [s1- t] addr=deadbeef00000002 dest=1
#    21: Port 3 send flit 2600202ffffdeadbeef00000009 (tail=0 dst=1 vc=1)
#    21: Port 0 recv flit 2200002ffffdeadbeef00000003 (tail=0 dst=0 vc=1) 
#    21: Port 1 recv flit 2600202ffffdeadbeef00000004 (tail=0 dst=1 vc=1) 
#    21: Port 2 recv flit 2a00400ffffdeadbeef00000003 (tail=0 dst=2 vc=1) 
#    21: Port 3 recv flit 2e00602ffffdeadbeef00000005 (tail=0 dst=3 vc=1) 
#    21: Port 0 get a credit (vc=1)
#    21: Port 1 get a credit (vc=1)
#    21: Port 3 get a credit (vc=1)
#    21: Port 0 put a credit (vc=1)
#    21: Port 1 put a credit (vc=1)
#    21: Port 2 put a credit (vc=1)
#    21: Device 0 send flit 2a00400ffffdeadbeef0000000c
#    21: Device 1 send flit 2e00602ffffdeadbeef0000000b
#    21: Device 2 send flit 2200002ffffdeadbeef0000000a
#    21: Device 3 send flit 2600202ffffdeadbeef00000009
#    21: Device 0 recv flit 2200002ffffdeadbeef00000003
#    21: Device 1 recv flit 2600202ffffdeadbeef00000003
#    21: Device 2 recv flit 2a00400ffffdeadbeef00000003
#    21: [m0- t] addr=deadbeef0000000c dest=2
#    21: [m1- t] addr=deadbeef0000000b dest=3
#    22: Port 0 send flit 2a00400ffffdeadbeef0000000b (tail=0 dst=2 vc=1)
#    22: Port 1 send flit 2e00602ffffdeadbeef0000000a (tail=0 dst=3 vc=1)
#    22: Port 3 send flit 2600202ffffdeadbeef0000000a (tail=0 dst=1 vc=1)
#    22: Port 1 recv flit 2600202ffffdeadbeef00000005 (tail=0 dst=1 vc=1) 
#    22: Port 1 get a credit (vc=1)
#    22: Port 2 get a credit (vc=1)
#    22: Port 3 get a credit (vc=1)
#    22: Port 3 put a credit (vc=1)
#    22: Device 0 send flit 2a00400ffffdeadbeef0000000d
#    22: Device 1 send flit 2e00602ffffdeadbeef0000000c
#    22: Device 2 send flit 2200002ffffdeadbeef0000000b
#    22: Device 3 send flit 2600202ffffdeadbeef0000000a
#    22: Device 3 recv flit 2e00602ffffdeadbeef00000004
#    22: [m0- t] addr=deadbeef0000000d dest=2
#    22: [m1- t] addr=deadbeef0000000c dest=3
#    22: [s0- t] addr=deadbeef00000003 dest=0
#    22: [s1- t] addr=deadbeef00000003 dest=1
#    23: Port 1 send flit 2e00602ffffdeadbeef0000000b (tail=0 dst=3 vc=1)
#    23: Port 2 send flit 2200002ffffdeadbeef00000008 (tail=0 dst=0 vc=1)
#    23: Port 3 send flit 2600202ffffdeadbeef0000000b (tail=0 dst=1 vc=1)
#    23: Port 3 recv flit 2e00602ffffdeadbeef00000006 (tail=0 dst=3 vc=1) 
#    23: Port 1 put a credit (vc=1)
#    23: Device 0 send flit 2a00400ffffdeadbeef0000000e
#    23: Device 1 send flit 2e00602ffffdeadbeef0000000d
#    23: Device 2 send flit 2200002ffffdeadbeef0000000c
#    23: Device 3 send flit 2600202ffffdeadbeef0000000b
#    23: Device 1 recv flit 2600202ffffdeadbeef00000004
#    23: [m0- t] addr=deadbeef0000000e dest=2
#    23: [m1- t] addr=deadbeef0000000d dest=3
#    24: Port 3 send flit 2600202ffffdeadbeef0000000c (tail=0 dst=1 vc=1)
#    24: Port 0 recv flit 2200002ffffdeadbeef00000004 (tail=0 dst=0 vc=1) 
#    24: Port 1 recv flit 2600202ffffdeadbeef00000006 (tail=0 dst=1 vc=1) 
#    24: Port 2 recv flit 2a00400ffffdeadbeef00000004 (tail=0 dst=2 vc=1) 
#    24: Port 3 recv flit 2e00602ffffdeadbeef00000007 (tail=0 dst=3 vc=1) 
#    24: Port 0 get a credit (vc=1)
#    24: Port 1 get a credit (vc=1)
#    24: Port 3 get a credit (vc=1)
#    24: Port 0 put a credit (vc=1)
#    24: Port 2 put a credit (vc=1)
#    24: Port 3 put a credit (vc=1)
#    24: Device 0 send flit 2a00400ffffdeadbeef0000000f
#    24: Device 1 send flit 2e00602ffffdeadbeef0000000e
#    24: Device 3 send flit 2600202ffffdeadbeef0000000c
#    24: Device 0 recv flit 2200002ffffdeadbeef00000004
#    24: Device 2 recv flit 2a00400ffffdeadbeef00000004
#    24: Device 3 recv flit 2e00602ffffdeadbeef00000005
#    24: [m0- t] addr=deadbeef0000000f dest=2
#    24: [m1- t] addr=deadbeef0000000e dest=3
#    24: [s1- t] addr=deadbeef00000004 dest=1
#    25: Port 0 send flit 2a00400ffffdeadbeef0000000c (tail=0 dst=2 vc=1)
#    25: Port 1 send flit 2e00602ffffdeadbeef0000000c (tail=0 dst=3 vc=1)
#    25: Port 3 send flit 2600202ffffdeadbeef0000000d (tail=0 dst=1 vc=1)
#    25: Port 1 recv flit 2600202ffffdeadbeef00000007 (tail=0 dst=1 vc=1) 
#    25: Port 1 get a credit (vc=1)
#    25: Port 2 get a credit (vc=1)
#    25: Port 3 get a credit (vc=1)
#    25: Port 1 put a credit (vc=1)
#    25: Device 0 send flit 2a00400ffffdeadbeef00000010
#    25: Device 1 send flit 2e00602ffffdeadbeef0000000f
#    25: Device 3 send flit 2600202ffffdeadbeef0000000d
#    25: Device 1 recv flit 2600202ffffdeadbeef00000005
#    25: [m0- t] addr=deadbeef00000010 dest=2
#    25: [m1- t] addr=deadbeef0000000f dest=3
#    25: [s0- t] addr=deadbeef00000004 dest=0
#    26: Port 1 send flit 2e00602ffffdeadbeef0000000d (tail=0 dst=3 vc=1)
#    26: Port 2 send flit 2200002ffffdeadbeef00000009 (tail=0 dst=0 vc=1)
#    26: Port 3 send flit 2600202ffffdeadbeef0000000e (tail=0 dst=1 vc=1)
#    26: Port 3 recv flit 2e00602ffffdeadbeef00000008 (tail=0 dst=3 vc=1) 
#    26: Port 3 put a credit (vc=1)
#    26: Device 1 send flit 2e00602ffffdeadbeef00000010
#    26: Device 2 send flit 2200002ffffdeadbeef0000000d
#    26: Device 3 send flit 2600202ffffdeadbeef0000000e
#    26: Device 3 recv flit 2e00602ffffdeadbeef00000006
#    26: [m1- t] addr=deadbeef00000010 dest=3
#    26: [s1- t] addr=deadbeef00000005 dest=1
#    27: Port 3 send flit 2600202ffffdeadbeef0000000f (tail=0 dst=1 vc=1)
#    27: Port 0 recv flit 2200002ffffdeadbeef00000005 (tail=0 dst=0 vc=1) 
#    27: Port 1 recv flit 2600202ffffdeadbeef00000008 (tail=0 dst=1 vc=1) 
#    27: Port 2 recv flit 2a00400ffffdeadbeef00000005 (tail=0 dst=2 vc=1) 
#    27: Port 3 recv flit 2e00602ffffdeadbeef00000009 (tail=0 dst=3 vc=1) 
#    27: Port 0 get a credit (vc=1)
#    27: Port 1 get a credit (vc=1)
#    27: Port 3 get a credit (vc=1)
#    27: Port 0 put a credit (vc=1)
#    27: Port 1 put a credit (vc=1)
#    27: Port 2 put a credit (vc=1)
#    27: Device 1 send flit 2e00602ffffdeadbeef00000011
#    27: Device 3 send flit 2600202ffffdeadbeef0000000f
#    27: Device 0 recv flit 2200002ffffdeadbeef00000005
#    27: Device 1 recv flit 2600202ffffdeadbeef00000006
#    27: Device 2 recv flit 2a00400ffffdeadbeef00000005
#    27: [m1- t] addr=deadbeef00000011 dest=3
#    28: Port 0 send flit 2a00400ffffdeadbeef0000000d (tail=0 dst=2 vc=1)
#    28: Port 1 send flit 2e00602ffffdeadbeef0000000e (tail=0 dst=3 vc=1)
#    28: Port 3 send flit 2600202ffffdeadbeef00000010 (tail=0 dst=1 vc=1)
#    28: Port 1 recv flit 2600202ffffdeadbeef00000009 (tail=0 dst=1 vc=1) 
#    28: Port 1 get a credit (vc=1)
#    28: Port 2 get a credit (vc=1)
#    28: Port 3 get a credit (vc=1)
#    28: Port 3 put a credit (vc=1)
#    28: Device 0 send flit 2a00400ffffdeadbeef00000011
#    28: Device 1 send flit 2e00602ffffdeadbeef00000012
#    28: Device 3 send flit 2600202ffffdeadbeef00000010
#    28: Device 3 recv flit 2e00602ffffdeadbeef00000007
#    28: [m0- t] addr=deadbeef00000011 dest=2
#    28: [m1- t] addr=deadbeef00000012 dest=3
#    28: [s0- t] addr=deadbeef00000005 dest=0
#    28: [s1- t] addr=deadbeef00000006 dest=1
#    29: Port 1 send flit 2e00602ffffdeadbeef0000000f (tail=0 dst=3 vc=1)
#    29: Port 2 send flit 2200002ffffdeadbeef0000000a (tail=0 dst=0 vc=1)
#    29: Port 3 send flit 2600202ffffdeadbeef00000011 (tail=0 dst=1 vc=1)
#    29: Port 3 recv flit 2e00602ffffdeadbeef0000000a (tail=0 dst=3 vc=1) 
#    29: Port 1 put a credit (vc=1)
#    29: Device 1 send flit 2e00602ffffdeadbeef00000013
#    29: Device 2 send flit 2200002ffffdeadbeef0000000e
#    29: Device 3 send flit 2600202ffffdeadbeef00000011
#    29: Device 1 recv flit 2600202ffffdeadbeef00000007
#    29: [m1- t] addr=deadbeef00000013 dest=3
#    30: Port 0 recv flit 2200002ffffdeadbeef00000006 (tail=0 dst=0 vc=1) 
#    30: Port 1 recv flit 2600202ffffdeadbeef0000000a (tail=0 dst=1 vc=1) 
#    30: Port 2 recv flit 2a00400ffffdeadbeef00000006 (tail=0 dst=2 vc=1) 
#    30: Port 3 recv flit 2e00602ffffdeadbeef0000000b (tail=0 dst=3 vc=1) 
#    30: Port 0 get a credit (vc=1)
#    30: Port 1 get a credit (vc=1)
#    30: Port 3 get a credit (vc=1)
#    30: Port 0 put a credit (vc=1)
#    30: Port 2 put a credit (vc=1)
#    30: Port 3 put a credit (vc=1)
#    30: Device 3 send flit 2600202ffffdeadbeef00000012
#    30: Device 0 recv flit 2200002ffffdeadbeef00000006
#    30: Device 2 recv flit 2a00400ffffdeadbeef00000006
#    30: Device 3 recv flit 2e00602ffffdeadbeef00000008
#    30: [s1- t] addr=deadbeef00000007 dest=1
#    31: Port 0 send flit 2a00400ffffdeadbeef0000000e (tail=0 dst=2 vc=1)
#    31: Port 1 send flit 2e00602ffffdeadbeef00000010 (tail=0 dst=3 vc=1)
#    31: Port 3 send flit 2600202ffffdeadbeef00000012 (tail=0 dst=1 vc=1)
#    31: Port 1 recv flit 2600202ffffdeadbeef0000000b (tail=0 dst=1 vc=1) 
#    31: Port 1 get a credit (vc=1)
#    31: Port 2 get a credit (vc=1)
#    31: Port 3 get a credit (vc=1)
#    31: Port 1 put a credit (vc=1)
#    31: Device 0 send flit 2a00400ffffdeadbeef00000012
#    31: Device 1 send flit 2e00602ffffdeadbeef00000014
#    31: Device 3 send flit 2600202ffffdeadbeef00000013
#    31: Device 1 recv flit 2600202ffffdeadbeef00000008
#    31: [m0- t] addr=deadbeef00000012 dest=2
#    31: [m1- t] addr=deadbeef00000014 dest=3
#    31: [s0- t] addr=deadbeef00000006 dest=0
#    32: Port 1 send flit 2e00602ffffdeadbeef00000011 (tail=0 dst=3 vc=1)
#    32: Port 2 send flit 2200002ffffdeadbeef0000000b (tail=0 dst=0 vc=1)
#    32: Port 3 send flit 2600202ffffdeadbeef00000013 (tail=0 dst=1 vc=1)
#    32: Port 3 recv flit 2e00602ffffdeadbeef0000000c (tail=0 dst=3 vc=1) 
#    32: Port 3 put a credit (vc=1)
#    32: Device 1 send flit 2e00602ffffdeadbeef00000015
#    32: Device 2 send flit 2200002ffffdeadbeef0000000f
#    32: Device 3 send flit 2600202ffffdeadbeef00000014
#    32: Device 3 recv flit 2e00602ffffdeadbeef00000009
#    32: [m1- t] addr=deadbeef00000015 dest=3
#    32: [s1- t] addr=deadbeef00000008 dest=1
#    33: Port 0 recv flit 2200002ffffdeadbeef00000007 (tail=0 dst=0 vc=1) 
#    33: Port 1 recv flit 2600202ffffdeadbeef0000000c (tail=0 dst=1 vc=1) 
#    33: Port 2 recv flit 2a00400ffffdeadbeef00000007 (tail=0 dst=2 vc=1) 
#    33: Port 3 recv flit 2e00602ffffdeadbeef0000000d (tail=0 dst=3 vc=1) 
#    33: Port 0 get a credit (vc=1)
#    33: Port 1 get a credit (vc=1)
#    33: Port 3 get a credit (vc=1)
#    33: Port 0 put a credit (vc=1)
#    33: Port 1 put a credit (vc=1)
#    33: Port 2 put a credit (vc=1)
#    33: Device 3 send flit 2600202ffffdeadbeef00000015
#    33: Device 0 recv flit 2200002ffffdeadbeef00000007
#    33: Device 1 recv flit 2600202ffffdeadbeef00000009
#    33: Device 2 recv flit 2a00400ffffdeadbeef00000007
#    34: Port 0 send flit 2a00400ffffdeadbeef0000000f (tail=0 dst=2 vc=1)
#    34: Port 1 send flit 2e00602ffffdeadbeef00000012 (tail=0 dst=3 vc=1)
#    34: Port 3 send flit 2600202ffffdeadbeef00000014 (tail=0 dst=1 vc=1)
#    34: Port 1 recv flit 2600202ffffdeadbeef0000000d (tail=0 dst=1 vc=1) 
#    34: Port 1 get a credit (vc=1)
#    34: Port 2 get a credit (vc=1)
#    34: Port 3 get a credit (vc=1)
#    34: Port 3 put a credit (vc=1)
#    34: Device 0 send flit 2a00400ffffdeadbeef00000013
#    34: Device 1 send flit 2e00602ffffdeadbeef00000016
#    34: Device 3 send flit 2600202ffffdeadbeef00000016
#    34: Device 3 recv flit 2e00602ffffdeadbeef0000000a
#    34: [m0- t] addr=deadbeef00000013 dest=2
#    34: [m1- t] addr=deadbeef00000016 dest=3
#    34: [s0- t] addr=deadbeef00000007 dest=0
#    34: [s1- t] addr=deadbeef00000009 dest=1
#    35: Port 1 send flit 2e00602ffffdeadbeef00000013 (tail=0 dst=3 vc=1)
#    35: Port 2 send flit 2200002ffffdeadbeef0000000c (tail=0 dst=0 vc=1)
#    35: Port 3 send flit 2600202ffffdeadbeef00000015 (tail=0 dst=1 vc=1)
#    35: Port 3 recv flit 2e00602ffffdeadbeef0000000e (tail=0 dst=3 vc=1) 
#    35: Port 1 put a credit (vc=1)
#    35: Device 1 send flit 3e00603ffffdeadbeef00000017
#    35: Device 2 send flit 2200002ffffdeadbeef00000010
#    35: Device 3 send flit 3600203ffffdeadbeef00000017
#    35: Device 1 recv flit 2600202ffffdeadbeef0000000a
#    35: [m1- t] addr=deadbeef00000017 dest=3
#    36: Port 0 recv flit 2200002ffffdeadbeef00000008 (tail=0 dst=0 vc=1) 
#    36: Port 1 recv flit 2600202ffffdeadbeef0000000e (tail=0 dst=1 vc=1) 
#    36: Port 2 recv flit 2a00400ffffdeadbeef00000008 (tail=0 dst=2 vc=1) 
#    36: Port 0 get a credit (vc=1)
#    36: Port 1 get a credit (vc=1)
#    36: Port 3 get a credit (vc=1)
#    36: Port 0 put a credit (vc=1)
#    36: Port 2 put a credit (vc=1)
#    36: Port 3 put a credit (vc=1)
#    36: Device 0 recv flit 2200002ffffdeadbeef00000008
#    36: Device 2 recv flit 2a00400ffffdeadbeef00000008
#    36: Device 3 recv flit 2e00602ffffdeadbeef0000000b
#    36: [s1- t] addr=deadbeef0000000a dest=1
#    37: Port 0 send flit 2a00400ffffdeadbeef00000010 (tail=0 dst=2 vc=1)
#    37: Port 1 send flit 2e00602ffffdeadbeef00000014 (tail=0 dst=3 vc=1)
#    37: Port 3 send flit 2600202ffffdeadbeef00000016 (tail=0 dst=1 vc=1)
#    37: Port 3 recv flit 2e00602ffffdeadbeef0000000f (tail=0 dst=3 vc=1) 
#    37: Port 1 get a credit (vc=1)
#    37: Port 2 get a credit (vc=1)
#    37: Port 3 get a credit (vc=1)
#    37: Port 1 put a credit (vc=1)
#    37: Device 0 send flit 2a00400ffffdeadbeef00000014
#    37: Device 1 recv flit 2600202ffffdeadbeef0000000b
#    37: [m0- t] addr=deadbeef00000014 dest=2
#    37: [s0- t] addr=deadbeef00000008 dest=0
#    38: Port 1 send flit 2e00602ffffdeadbeef00000015 (tail=0 dst=3 vc=1)
#    38: Port 2 send flit 2200002ffffdeadbeef0000000d (tail=0 dst=0 vc=1)
#    38: Port 3 send flit 3600203ffffdeadbeef00000017 (tail=1 dst=1 vc=1)
#    38: Port 1 recv flit 2600202ffffdeadbeef0000000f (tail=0 dst=1 vc=1) 
#    38: Port 3 put a credit (vc=1)
#    38: Device 2 send flit 2200002ffffdeadbeef00000011
#    38: Device 3 recv flit 2e00602ffffdeadbeef0000000c
#    38: [s1- t] addr=deadbeef0000000b dest=1
#    39: Port 2 recv flit 2a00400ffffdeadbeef00000009 (tail=0 dst=2 vc=1) 
#    39: Port 3 recv flit 2e00602ffffdeadbeef00000010 (tail=0 dst=3 vc=1) 
#    39: Port 0 get a credit (vc=1)
#    39: Port 1 get a credit (vc=1)
#    39: Port 3 get a credit (vc=1)
#    39: Port 1 put a credit (vc=1)
#    39: Port 2 put a credit (vc=1)
#    39: Device 1 recv flit 2600202ffffdeadbeef0000000c
#    39: Device 2 recv flit 2a00400ffffdeadbeef00000009
#    40: Port 0 send flit 2a00400ffffdeadbeef00000011 (tail=0 dst=2 vc=1)
#    40: Port 1 send flit 2e00602ffffdeadbeef00000016 (tail=0 dst=3 vc=1)
#    40: Port 0 recv flit 2200002ffffdeadbeef00000009 (tail=0 dst=0 vc=1) 
#    40: Port 1 recv flit 2600202ffffdeadbeef00000010 (tail=0 dst=1 vc=1) 
#    40: Port 1 get a credit (vc=1)
#    40: Port 2 get a credit (vc=1)
#    40: Port 3 get a credit (vc=1)
#    40: Port 0 put a credit (vc=1)
#    40: Port 3 put a credit (vc=1)
#    40: Device 0 send flit 2a00400ffffdeadbeef00000015
#    40: Device 0 recv flit 2200002ffffdeadbeef00000009
#    40: Device 3 recv flit 2e00602ffffdeadbeef0000000d
#    40: [m0- t] addr=deadbeef00000015 dest=2
#    40: [s1- t] addr=deadbeef0000000c dest=1
#    41: Port 1 send flit 3e00603ffffdeadbeef00000017 (tail=1 dst=3 vc=1)
#    41: Port 2 send flit 2200002ffffdeadbeef0000000e (tail=0 dst=0 vc=1)
#    41: Port 3 recv flit 2e00602ffffdeadbeef00000011 (tail=0 dst=3 vc=1) 
#    41: Port 1 put a credit (vc=1)
#    41: Device 2 send flit 2200002ffffdeadbeef00000012
#    41: Device 1 recv flit 2600202ffffdeadbeef0000000d
#    41: [s0- t] addr=deadbeef00000009 dest=0
#    42: Port 1 recv flit 2600202ffffdeadbeef00000011 (tail=0 dst=1 vc=1) 
#    42: Port 2 recv flit 2a00400ffffdeadbeef0000000a (tail=0 dst=2 vc=1) 
#    42: Port 0 get a credit (vc=1)
#    42: Port 1 get a credit (vc=1)
#    42: Port 3 get a credit (vc=1)
#    42: Port 2 put a credit (vc=1)
#    42: Port 3 put a credit (vc=1)
#    42: Device 2 recv flit 2a00400ffffdeadbeef0000000a
#    42: Device 3 recv flit 2e00602ffffdeadbeef0000000e
#    42: [s1- t] addr=deadbeef0000000d dest=1
#    43: Port 0 send flit 2a00400ffffdeadbeef00000012 (tail=0 dst=2 vc=1)
#    43: Port 3 recv flit 2e00602ffffdeadbeef00000012 (tail=0 dst=3 vc=1) 
#    43: Port 1 get a credit (vc=1)
#    43: Port 2 get a credit (vc=1)
#    43: Port 3 get a credit (vc=1)
#    43: Port 1 put a credit (vc=1)
#    43: Device 0 send flit 2a00400ffffdeadbeef00000016
#    43: Device 1 recv flit 2600202ffffdeadbeef0000000e
#    43: [m0- t] addr=deadbeef00000016 dest=2
#    44: Port 2 send flit 2200002ffffdeadbeef0000000f (tail=0 dst=0 vc=1)
#    44: Port 0 recv flit 2200002ffffdeadbeef0000000a (tail=0 dst=0 vc=1) 
#    44: Port 1 recv flit 2600202ffffdeadbeef00000012 (tail=0 dst=1 vc=1) 
#    44: Port 0 put a credit (vc=1)
#    44: Port 3 put a credit (vc=1)
#    44: Device 2 send flit 2200002ffffdeadbeef00000013
#    44: Device 0 recv flit 2200002ffffdeadbeef0000000a
#    44: Device 3 recv flit 2e00602ffffdeadbeef0000000f
#    44: [s1- t] addr=deadbeef0000000e dest=1
#    45: Port 2 recv flit 2a00400ffffdeadbeef0000000b (tail=0 dst=2 vc=1) 
#    45: Port 3 recv flit 2e00602ffffdeadbeef00000013 (tail=0 dst=3 vc=1) 
#    45: Port 0 get a credit (vc=1)
#    45: Port 1 get a credit (vc=1)
#    45: Port 1 put a credit (vc=1)
#    45: Port 2 put a credit (vc=1)
#    45: Device 1 recv flit 2600202ffffdeadbeef0000000f
#    45: Device 2 recv flit 2a00400ffffdeadbeef0000000b
#    45: [s0- t] addr=deadbeef0000000a dest=0
#    46: Port 0 send flit 2a00400ffffdeadbeef00000013 (tail=0 dst=2 vc=1)
#    46: Port 1 recv flit 2600202ffffdeadbeef00000013 (tail=0 dst=1 vc=1) 
#    46: Port 1 get a credit (vc=1)
#    46: Port 3 put a credit (vc=1)
#    46: Device 0 send flit 3a00401ffffdeadbeef00000017
#    46: Device 3 recv flit 2e00602ffffdeadbeef00000010
#    46: [m0- t] addr=deadbeef00000017 dest=2
#    46: [s1- t] addr=deadbeef0000000f dest=1
#    47: Port 3 recv flit 2e00602ffffdeadbeef00000014 (tail=0 dst=3 vc=1) 
#    47: Port 1 put a credit (vc=1)
#    47: Device 1 recv flit 2600202ffffdeadbeef00000010
#    48: Port 0 recv flit 2200002ffffdeadbeef0000000b (tail=0 dst=0 vc=1) 
#    48: Port 1 recv flit 2600202ffffdeadbeef00000014 (tail=0 dst=1 vc=1) 
#    48: Port 2 recv flit 2a00400ffffdeadbeef0000000c (tail=0 dst=2 vc=1) 
#    48: Port 0 get a credit (vc=1)
#    48: Port 0 put a credit (vc=1)
#    48: Port 2 put a credit (vc=1)
#    48: Port 3 put a credit (vc=1)
#    48: Device 0 recv flit 2200002ffffdeadbeef0000000b
#    48: Device 2 recv flit 2a00400ffffdeadbeef0000000c
#    48: Device 3 recv flit 2e00602ffffdeadbeef00000011
#    48: [s1- t] addr=deadbeef00000010 dest=1
#    49: Port 0 send flit 2a00400ffffdeadbeef00000014 (tail=0 dst=2 vc=1)
#    49: Port 2 recv flit 2a00400ffffdeadbeef0000000d (tail=0 dst=2 vc=1) 
#    49: Port 3 recv flit 2e00602ffffdeadbeef00000015 (tail=0 dst=3 vc=1) 
#    49: Port 0 get a credit (vc=1)
#    49: Port 2 get a credit (vc=1)
#    49: Port 1 put a credit (vc=1)
#    49: Device 1 recv flit 2600202ffffdeadbeef00000011
#    49: [s0- t] addr=deadbeef0000000b dest=0
#    50: Port 0 send flit 2a00400ffffdeadbeef00000015 (tail=0 dst=2 vc=1)
#    50: Port 2 send flit 2200002ffffdeadbeef00000010 (tail=0 dst=0 vc=1)
#    50: Port 1 recv flit 2600202ffffdeadbeef00000015 (tail=0 dst=1 vc=1) 
#    50: Port 2 recv flit 2a00400ffffdeadbeef0000000e (tail=0 dst=2 vc=1) 
#    50: Port 0 get a credit (vc=1)
#    50: Port 2 put a credit (vc=1)
#    50: Port 3 put a credit (vc=1)
#    50: Device 2 send flit 2200002ffffdeadbeef00000014
#    50: Device 2 recv flit 2a00400ffffdeadbeef0000000d
#    50: Device 3 recv flit 2e00602ffffdeadbeef00000012
#    50: [s1- t] addr=deadbeef00000011 dest=1
#    51: Port 0 send flit 2a00400ffffdeadbeef00000016 (tail=0 dst=2 vc=1)
#    51: Port 2 recv flit 2a00400ffffdeadbeef0000000f (tail=0 dst=2 vc=1) 
#    51: Port 3 recv flit 2e00602ffffdeadbeef00000016 (tail=0 dst=3 vc=1) 
#    51: Port 0 get a credit (vc=1)
#    51: Port 2 get a credit (vc=1)
#    51: Port 1 put a credit (vc=1)
#    51: Device 1 recv flit 2600202ffffdeadbeef00000012
#    52: Port 0 send flit 3a00401ffffdeadbeef00000017 (tail=1 dst=2 vc=1)
#    52: Port 2 send flit 2200002ffffdeadbeef00000011 (tail=0 dst=0 vc=1)
#    52: Port 1 recv flit 2600202ffffdeadbeef00000016 (tail=0 dst=1 vc=1) 
#    52: Port 2 recv flit 2a00400ffffdeadbeef00000010 (tail=0 dst=2 vc=1) 
#    52: Port 0 get a credit (vc=1)
#    52: Port 2 put a credit (vc=1)
#    52: Port 3 put a credit (vc=1)
#    52: Device 2 send flit 2200002ffffdeadbeef00000015
#    52: Device 2 recv flit 2a00400ffffdeadbeef0000000e
#    52: Device 3 recv flit 2e00602ffffdeadbeef00000013
#    52: [s1- t] addr=deadbeef00000012 dest=1
#    53: Port 2 recv flit 2a00400ffffdeadbeef00000011 (tail=0 dst=2 vc=1) 
#    53: Port 3 recv flit 3e00603ffffdeadbeef00000017 (tail=1 dst=3 vc=1) 
#    53: Port 0 get a credit (vc=1)
#    53: Port 2 get a credit (vc=1)
#    53: Port 1 put a credit (vc=1)
#    53: Device 1 recv flit 2600202ffffdeadbeef00000013
#    54: Port 2 send flit 2200002ffffdeadbeef00000012 (tail=0 dst=0 vc=1)
#    54: Port 1 recv flit 3600203ffffdeadbeef00000017 (tail=1 dst=1 vc=1) 
#    54: Port 2 recv flit 2a00400ffffdeadbeef00000012 (tail=0 dst=2 vc=1) 
#    54: Port 0 get a credit (vc=1)
#    54: Port 2 put a credit (vc=1)
#    54: Port 3 put a credit (vc=1)
#    54: Device 2 send flit 2200002ffffdeadbeef00000016
#    54: Device 2 recv flit 2a00400ffffdeadbeef0000000f
#    54: Device 3 recv flit 2e00602ffffdeadbeef00000014
#    54: [s1- t] addr=deadbeef00000013 dest=1
#    55: Port 2 recv flit 2a00400ffffdeadbeef00000013 (tail=0 dst=2 vc=1) 
#    55: Port 0 get a credit (vc=1)
#    55: Port 2 get a credit (vc=1)
#    55: Port 1 put a credit (vc=1)
#    55: Device 1 recv flit 2600202ffffdeadbeef00000014
#    56: Port 2 send flit 2200002ffffdeadbeef00000013 (tail=0 dst=0 vc=1)
#    56: Port 0 recv flit 2200002ffffdeadbeef0000000c (tail=0 dst=0 vc=1) 
#    56: Port 2 get a credit (vc=1)
#    56: Port 0 put a credit (vc=1)
#    56: Port 2 put a credit (vc=1)
#    56: Port 3 put a credit (vc=1)
#    56: Device 2 send flit 3200003ffffdeadbeef00000017
#    56: Device 0 recv flit 2200002ffffdeadbeef0000000c
#    56: Device 2 recv flit 2a00400ffffdeadbeef00000010
#    56: Device 3 recv flit 2e00602ffffdeadbeef00000015
#    56: [s1- t] addr=deadbeef00000014 dest=1
#    57: Port 2 send flit 2200002ffffdeadbeef00000014 (tail=0 dst=0 vc=1)
#    57: Port 0 recv flit 2200002ffffdeadbeef0000000d (tail=0 dst=0 vc=1) 
#    57: Port 2 recv flit 2a00400ffffdeadbeef00000014 (tail=0 dst=2 vc=1) 
#    57: Port 2 get a credit (vc=1)
#    57: Port 1 put a credit (vc=1)
#    57: Device 1 recv flit 2600202ffffdeadbeef00000015
#    57: [s0- t] addr=deadbeef0000000c dest=0
#    58: Port 2 send flit 2200002ffffdeadbeef00000015 (tail=0 dst=0 vc=1)
#    58: Port 0 recv flit 2200002ffffdeadbeef0000000e (tail=0 dst=0 vc=1) 
#    58: Port 2 get a credit (vc=1)
#    58: Port 0 put a credit (vc=1)
#    58: Port 2 put a credit (vc=1)
#    58: Port 3 put a credit (vc=1)
#    58: Device 0 recv flit 2200002ffffdeadbeef0000000d
#    58: Device 2 recv flit 2a00400ffffdeadbeef00000011
#    58: Device 3 recv flit 2e00602ffffdeadbeef00000016
#    58: [s1- t] addr=deadbeef00000015 dest=1
#    59: Port 2 send flit 2200002ffffdeadbeef00000016 (tail=0 dst=0 vc=1)
#    59: Port 0 recv flit 2200002ffffdeadbeef0000000f (tail=0 dst=0 vc=1) 
#    59: Port 2 recv flit 2a00400ffffdeadbeef00000015 (tail=0 dst=2 vc=1) 
#    59: Port 2 get a credit (vc=1)
#    59: Port 1 put a credit (vc=1)
#    59: Device 1 recv flit 2600202ffffdeadbeef00000016
#    59: [s0- t] addr=deadbeef0000000d dest=0
#    60: Port 2 send flit 3200003ffffdeadbeef00000017 (tail=1 dst=0 vc=1)
#    60: Port 0 recv flit 2200002ffffdeadbeef00000010 (tail=0 dst=0 vc=1) 
#    60: Port 2 get a credit (vc=1)
#    60: Port 0 put a credit (vc=1)
#    60: Port 2 put a credit (vc=1)
#    60: Port 3 put a credit (vc=1)
#    60: Device 0 recv flit 2200002ffffdeadbeef0000000e
#    60: Device 2 recv flit 2a00400ffffdeadbeef00000012
#    60: Device 3 recv flit 3e00603ffffdeadbeef00000017
#    60: [s1- t] addr=deadbeef00000016 dest=1
#    61: Port 0 recv flit 2200002ffffdeadbeef00000011 (tail=0 dst=0 vc=1) 
#    61: Port 2 recv flit 2a00400ffffdeadbeef00000016 (tail=0 dst=2 vc=1) 
#    61: Port 2 get a credit (vc=1)
#    61: Port 1 put a credit (vc=1)
#    61: Device 1 recv flit 3600203ffffdeadbeef00000017
#    61: [s0- t] addr=deadbeef0000000e dest=0
#    62: Port 0 recv flit 2200002ffffdeadbeef00000012 (tail=0 dst=0 vc=1) 
#    62: Port 2 get a credit (vc=1)
#    62: Port 0 put a credit (vc=1)
#    62: Port 2 put a credit (vc=1)
#    62: Device 0 recv flit 2200002ffffdeadbeef0000000f
#    62: Device 2 recv flit 2a00400ffffdeadbeef00000013
#    62: [s1- t] addr=deadbeef00000017 dest=1
#    63: Port 0 recv flit 2200002ffffdeadbeef00000013 (tail=0 dst=0 vc=1) 
#    63: Port 2 recv flit 3a00401ffffdeadbeef00000017 (tail=1 dst=2 vc=1) 
#    63: Port 2 get a credit (vc=1)
#    63: [s0- t] addr=deadbeef0000000f dest=0
#    64: Port 0 put a credit (vc=1)
#    64: Port 2 put a credit (vc=1)
#    64: Device 0 recv flit 2200002ffffdeadbeef00000010
#    64: Device 2 recv flit 2a00400ffffdeadbeef00000014
#    65: Port 0 recv flit 2200002ffffdeadbeef00000014 (tail=0 dst=0 vc=1) 
#    65: [s0- t] addr=deadbeef00000010 dest=0
#    66: Port 0 put a credit (vc=1)
#    66: Port 2 put a credit (vc=1)
#    66: Device 0 recv flit 2200002ffffdeadbeef00000011
#    66: Device 2 recv flit 2a00400ffffdeadbeef00000015
#    67: Port 0 recv flit 2200002ffffdeadbeef00000015 (tail=0 dst=0 vc=1) 
#    67: [s0- t] addr=deadbeef00000011 dest=0
#    68: Port 0 put a credit (vc=1)
#    68: Port 2 put a credit (vc=1)
#    68: Device 0 recv flit 2200002ffffdeadbeef00000012
#    68: Device 2 recv flit 2a00400ffffdeadbeef00000016
#    69: Port 0 recv flit 2200002ffffdeadbeef00000016 (tail=0 dst=0 vc=1) 
#    69: [s0- t] addr=deadbeef00000012 dest=0
#    70: Port 0 put a credit (vc=1)
#    70: Port 2 put a credit (vc=1)
#    70: Device 0 recv flit 2200002ffffdeadbeef00000013
#    70: Device 2 recv flit 3a00401ffffdeadbeef00000017
#    71: Port 0 recv flit 3200003ffffdeadbeef00000017 (tail=1 dst=0 vc=1) 
#    71: [s0- t] addr=deadbeef00000013 dest=0
#    72: Port 0 put a credit (vc=1)
#    72: Device 0 recv flit 2200002ffffdeadbeef00000014
#    73: [s0- t] addr=deadbeef00000014 dest=0
#    74: Port 0 put a credit (vc=1)
#    74: Device 0 recv flit 2200002ffffdeadbeef00000015
#    75: [s0- t] addr=deadbeef00000015 dest=0
#    76: Port 0 put a credit (vc=1)
#    76: Device 0 recv flit 2200002ffffdeadbeef00000016
#    77: [s0- t] addr=deadbeef00000016 dest=0
#    78: Port 0 put a credit (vc=1)
#    78: Device 0 recv flit 3200003ffffdeadbeef00000017
#    79: [s0- t] addr=deadbeef00000017 dest=0
# actual:deadbeef00000000 expected:deadbeef00000000
# actual:deadbeef00000001 expected:deadbeef00000001
# actual:deadbeef00000002 expected:deadbeef00000002
# actual:deadbeef00000003 expected:deadbeef00000003
# actual:deadbeef00000004 expected:deadbeef00000004
# actual:deadbeef00000005 expected:deadbeef00000005
# actual:deadbeef00000006 expected:deadbeef00000006
# actual:deadbeef00000007 expected:deadbeef00000007
# actual:deadbeef00000008 expected:deadbeef00000008
# actual:deadbeef00000009 expected:deadbeef00000009
# actual:deadbeef0000000a expected:deadbeef0000000a
# actual:deadbeef0000000b expected:deadbeef0000000b
# actual:deadbeef0000000c expected:deadbeef0000000c
# actual:deadbeef0000000d expected:deadbeef0000000d
# actual:deadbeef0000000e expected:deadbeef0000000e
# actual:deadbeef0000000f expected:deadbeef0000000f
# actual:deadbeef00000010 expected:deadbeef00000010
# actual:deadbeef00000011 expected:deadbeef00000011
# actual:deadbeef00000012 expected:deadbeef00000012
# actual:deadbeef00000013 expected:deadbeef00000013
# actual:deadbeef00000014 expected:deadbeef00000014
# actual:deadbeef00000015 expected:deadbeef00000015
# actual:deadbeef00000016 expected:deadbeef00000016
# actual:deadbeef00000017 expected:deadbeef00000017
# Pass 2
# actual:deadbeef00000000 expected:deadbeef00000000
# actual:deadbeef00000001 expected:deadbeef00000001
# actual:deadbeef00000002 expected:deadbeef00000002
# actual:deadbeef00000003 expected:deadbeef00000003
# actual:deadbeef00000004 expected:deadbeef00000004
# actual:deadbeef00000005 expected:deadbeef00000005
# actual:deadbeef00000006 expected:deadbeef00000006
# actual:deadbeef00000007 expected:deadbeef00000007
# actual:deadbeef00000008 expected:deadbeef00000008
# actual:deadbeef00000009 expected:deadbeef00000009
# actual:deadbeef0000000a expected:deadbeef0000000a
# actual:deadbeef0000000b expected:deadbeef0000000b
# actual:deadbeef0000000c expected:deadbeef0000000c
# actual:deadbeef0000000d expected:deadbeef0000000d
# actual:deadbeef0000000e expected:deadbeef0000000e
# actual:deadbeef0000000f expected:deadbeef0000000f
# actual:deadbeef00000010 expected:deadbeef00000010
# actual:deadbeef00000011 expected:deadbeef00000011
# actual:deadbeef00000012 expected:deadbeef00000012
# actual:deadbeef00000013 expected:deadbeef00000013
# actual:deadbeef00000014 expected:deadbeef00000014
# actual:deadbeef00000015 expected:deadbeef00000015
# actual:deadbeef00000016 expected:deadbeef00000016
# actual:deadbeef00000017 expected:deadbeef00000017
# Pass 3
# actual:deadbeef00000000 expected:deadbeef00000000
# actual:deadbeef00000001 expected:deadbeef00000001
# actual:deadbeef00000002 expected:deadbeef00000002
# actual:deadbeef00000003 expected:deadbeef00000003
# actual:deadbeef00000004 expected:deadbeef00000004
# actual:deadbeef00000005 expected:deadbeef00000005
# actual:deadbeef00000006 expected:deadbeef00000006
# actual:deadbeef00000007 expected:deadbeef00000007
# actual:deadbeef00000008 expected:deadbeef00000008
# actual:deadbeef00000009 expected:deadbeef00000009
# actual:deadbeef0000000a expected:deadbeef0000000a
# actual:deadbeef0000000b expected:deadbeef0000000b
# actual:deadbeef0000000c expected:deadbeef0000000c
# actual:deadbeef0000000d expected:deadbeef0000000d
# actual:deadbeef0000000e expected:deadbeef0000000e
# actual:deadbeef0000000f expected:deadbeef0000000f
# actual:deadbeef00000010 expected:deadbeef00000010
# actual:deadbeef00000011 expected:deadbeef00000011
# actual:deadbeef00000012 expected:deadbeef00000012
# actual:deadbeef00000013 expected:deadbeef00000013
# actual:deadbeef00000014 expected:deadbeef00000014
# actual:deadbeef00000015 expected:deadbeef00000015
# actual:deadbeef00000016 expected:deadbeef00000016
# actual:deadbeef00000017 expected:deadbeef00000017
# Pass 0
# actual:deadbeef00000000 expected:deadbeef00000000
# actual:deadbeef00000001 expected:deadbeef00000001
# actual:deadbeef00000002 expected:deadbeef00000002
# actual:deadbeef00000003 expected:deadbeef00000003
# actual:deadbeef00000004 expected:deadbeef00000004
# actual:deadbeef00000005 expected:deadbeef00000005
# actual:deadbeef00000006 expected:deadbeef00000006
# actual:deadbeef00000007 expected:deadbeef00000007
# actual:deadbeef00000008 expected:deadbeef00000008
# actual:deadbeef00000009 expected:deadbeef00000009
# actual:deadbeef0000000a expected:deadbeef0000000a
# actual:deadbeef0000000b expected:deadbeef0000000b
# actual:deadbeef0000000c expected:deadbeef0000000c
# actual:deadbeef0000000d expected:deadbeef0000000d
# actual:deadbeef0000000e expected:deadbeef0000000e
# actual:deadbeef0000000f expected:deadbeef0000000f
# actual:deadbeef00000010 expected:deadbeef00000010
# actual:deadbeef00000011 expected:deadbeef00000011
# actual:deadbeef00000012 expected:deadbeef00000012
# actual:deadbeef00000013 expected:deadbeef00000013
# actual:deadbeef00000014 expected:deadbeef00000014
# actual:deadbeef00000015 expected:deadbeef00000015
# actual:deadbeef00000016 expected:deadbeef00000016
# actual:deadbeef00000017 expected:deadbeef00000017
# Pass 1
# ** Note: $finish    : testbench_sample_axi4stream.sv(74)
#    Time: 1110 ns  Iteration: 0  Instance: /CONNECT_testbench_sample_axi4stream
# End time: 10:36:57 on Jun 08,2022, Elapsed time: 0:00:00
# Errors: 0, Warnings: 0

Result (Intel FIFO IP)

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
1092
1093
1094
1095
1096
1097
1098
1099
1100
1101
1102
1103
1104
1105
1106
1107
1108
1109
1110
1111
1112
1113
1114
1115
1116
1117
1118
1119
1120
1121
1122
1123
1124
1125
1126
1127
1128
1129
1130
1131
1132
1133
1134
1135
1136
1137
1138
1139
1140
1141
1142
1143
1144
1145
1146
1147
1148
1149
1150
1151
1152
1153
1154
1155
1156
1157
1158
1159
1160
1161
# ---- Performing Reset ----
#     9: Device 0 send flit 2a00400ffffdeadbeef00000000
#     9: [ENQ] data=2a00400ffffdeadbeef00000000
#     9: [m0- t] addr=deadbeef00000000 dest=2
#    10: Device 0 send flit 2a00400ffffdeadbeef00000001
#    10: Device 1 send flit 2e00602ffffdeadbeef00000000
#    10: [ENQ] data=2a00400ffffdeadbeef00000001
#    10: [ENQ] data=2e00602ffffdeadbeef00000000
#    10: [m0- t] addr=deadbeef00000001 dest=2
#    10: [m1- t] addr=deadbeef00000000 dest=3
#    11: Port 0 send flit 2a00400ffffdeadbeef00000000 (tail=0 dst=2 vc=1)
#    11: Device 0 send flit 2a00400ffffdeadbeef00000002
#    11: Device 1 send flit 2e00602ffffdeadbeef00000001
#    11: Device 2 send flit 2200002ffffdeadbeef00000000
#    11: [ENQ] data=2a00400ffffdeadbeef00000002
#    11: [DEQ] data=2a00400ffffdeadbeef00000000
#    11: [ENQ] data=2e00602ffffdeadbeef00000001
#    11: [ENQ] data=2200002ffffdeadbeef00000000
#    11: [m0- t] addr=deadbeef00000002 dest=2
#    11: [m1- t] addr=deadbeef00000001 dest=3
#    12: Port 0 send flit 2a00400ffffdeadbeef00000001 (tail=0 dst=2 vc=1)
#    12: Port 1 send flit 2e00602ffffdeadbeef00000000 (tail=0 dst=3 vc=1)
#    12: Port 0 get a credit (vc=1)
#    12: Device 0 send flit 2a00400ffffdeadbeef00000003
#    12: Device 1 send flit 2e00602ffffdeadbeef00000002
#    12: Device 2 send flit 2200002ffffdeadbeef00000001
#    12: Device 3 send flit 2600202ffffdeadbeef00000000
#    12: [ENQ] data=2a00400ffffdeadbeef00000003
#    12: [DEQ] data=2a00400ffffdeadbeef00000001
#    12: [ENQ] data=2e00602ffffdeadbeef00000002
#    12: [DEQ] data=2e00602ffffdeadbeef00000000
#    12: [ENQ] data=2200002ffffdeadbeef00000001
#    12: [ENQ] data=2600202ffffdeadbeef00000000
#    12: [m0- t] addr=deadbeef00000003 dest=2
#    12: [m1- t] addr=deadbeef00000002 dest=3
#    13: Port 0 send flit 2a00400ffffdeadbeef00000002 (tail=0 dst=2 vc=1)
#    13: Port 1 send flit 2e00602ffffdeadbeef00000001 (tail=0 dst=3 vc=1)
#    13: Port 2 send flit 2200002ffffdeadbeef00000000 (tail=0 dst=0 vc=1)
#    13: Port 0 get a credit (vc=1)
#    13: Port 1 get a credit (vc=1)
#    13: Device 0 send flit 2a00400ffffdeadbeef00000004
#    13: Device 1 send flit 2e00602ffffdeadbeef00000003
#    13: Device 2 send flit 2200002ffffdeadbeef00000002
#    13: Device 3 send flit 2600202ffffdeadbeef00000001
#    13: [ENQ] data=2a00400ffffdeadbeef00000004
#    13: [DEQ] data=2a00400ffffdeadbeef00000002
#    13: [ENQ] data=2e00602ffffdeadbeef00000003
#    13: [DEQ] data=2e00602ffffdeadbeef00000001
#    13: [ENQ] data=2200002ffffdeadbeef00000002
#    13: [DEQ] data=2200002ffffdeadbeef00000000
#    13: [ENQ] data=2600202ffffdeadbeef00000001
#    13: [m0- t] addr=deadbeef00000004 dest=2
#    13: [m1- t] addr=deadbeef00000003 dest=3
#    14: Port 0 send flit 2a00400ffffdeadbeef00000003 (tail=0 dst=2 vc=1)
#    14: Port 1 send flit 2e00602ffffdeadbeef00000002 (tail=0 dst=3 vc=1)
#    14: Port 2 send flit 2200002ffffdeadbeef00000001 (tail=0 dst=0 vc=1)
#    14: Port 3 send flit 2600202ffffdeadbeef00000000 (tail=0 dst=1 vc=1)
#    14: Port 0 get a credit (vc=1)
#    14: Port 2 get a credit (vc=1)
#    14: Device 0 send flit 2a00400ffffdeadbeef00000005
#    14: Device 1 send flit 2e00602ffffdeadbeef00000004
#    14: Device 2 send flit 2200002ffffdeadbeef00000003
#    14: Device 3 send flit 2600202ffffdeadbeef00000002
#    14: [ENQ] data=2a00400ffffdeadbeef00000005
#    14: [DEQ] data=2a00400ffffdeadbeef00000003
#    14: [ENQ] data=2e00602ffffdeadbeef00000004
#    14: [DEQ] data=2e00602ffffdeadbeef00000002
#    14: [ENQ] data=2200002ffffdeadbeef00000003
#    14: [DEQ] data=2200002ffffdeadbeef00000001
#    14: [ENQ] data=2600202ffffdeadbeef00000002
#    14: [DEQ] data=2600202ffffdeadbeef00000000
#    14: [m0- t] addr=deadbeef00000005 dest=2
#    14: [m1- t] addr=deadbeef00000004 dest=3
#    15: Port 0 send flit 2a00400ffffdeadbeef00000004 (tail=0 dst=2 vc=1)
#    15: Port 1 send flit 2e00602ffffdeadbeef00000003 (tail=0 dst=3 vc=1)
#    15: Port 2 send flit 2200002ffffdeadbeef00000002 (tail=0 dst=0 vc=1)
#    15: Port 3 send flit 2600202ffffdeadbeef00000001 (tail=0 dst=1 vc=1)
#    15: Port 2 recv flit 2a00400ffffdeadbeef00000000 (tail=0 dst=2 vc=1) 
#    15: Port 3 recv flit 2e00602ffffdeadbeef00000000 (tail=0 dst=3 vc=1) 
#    15: Port 0 get a credit (vc=1)
#    15: Port 1 get a credit (vc=1)
#    15: Port 2 get a credit (vc=1)
#    15: Port 3 get a credit (vc=1)
#    15: Device 0 send flit 2a00400ffffdeadbeef00000006
#    15: Device 1 send flit 2e00602ffffdeadbeef00000005
#    15: Device 2 send flit 2200002ffffdeadbeef00000004
#    15: Device 3 send flit 2600202ffffdeadbeef00000003
#    15: [ENQ] data=2a00400ffffdeadbeef00000006
#    15: [DEQ] data=2a00400ffffdeadbeef00000004
#    15: [ENQ] data=2e00602ffffdeadbeef00000005
#    15: [DEQ] data=2e00602ffffdeadbeef00000003
#    15: [ENQ] data=2200002ffffdeadbeef00000004
#    15: [DEQ] data=2200002ffffdeadbeef00000002
#    15: [ENQ] data=2a00400ffffdeadbeef00000000
#    15: [ENQ] data=2600202ffffdeadbeef00000003
#    15: [DEQ] data=2600202ffffdeadbeef00000001
#    15: [ENQ] data=2e00602ffffdeadbeef00000000
#    15: [m0- t] addr=deadbeef00000006 dest=2
#    15: [m1- t] addr=deadbeef00000005 dest=3
#    16: Port 0 send flit 2a00400ffffdeadbeef00000005 (tail=0 dst=2 vc=1)
#    16: Port 1 send flit 2e00602ffffdeadbeef00000004 (tail=0 dst=3 vc=1)
#    16: Port 2 send flit 2200002ffffdeadbeef00000003 (tail=0 dst=0 vc=1)
#    16: Port 3 send flit 2600202ffffdeadbeef00000002 (tail=0 dst=1 vc=1)
#    16: Port 0 recv flit 2200002ffffdeadbeef00000000 (tail=0 dst=0 vc=1) 
#    16: Port 0 get a credit (vc=1)
#    16: Port 1 get a credit (vc=1)
#    16: Port 2 get a credit (vc=1)
#    16: Port 3 get a credit (vc=1)
#    16: Device 0 send flit 2a00400ffffdeadbeef00000007
#    16: Device 1 send flit 2e00602ffffdeadbeef00000006
#    16: Device 2 send flit 2200002ffffdeadbeef00000005
#    16: Device 3 send flit 2600202ffffdeadbeef00000004
#    16: [ENQ] data=2a00400ffffdeadbeef00000007
#    16: [DEQ] data=2a00400ffffdeadbeef00000005
#    16: [ENQ] data=2200002ffffdeadbeef00000000
#    16: [ENQ] data=2e00602ffffdeadbeef00000006
#    16: [DEQ] data=2e00602ffffdeadbeef00000004
#    16: [ENQ] data=2200002ffffdeadbeef00000005
#    16: [DEQ] data=2200002ffffdeadbeef00000003
#    16: [ENQ] data=2600202ffffdeadbeef00000004
#    16: [DEQ] data=2600202ffffdeadbeef00000002
#    16: [m0- t] addr=deadbeef00000007 dest=2
#    16: [m1- t] addr=deadbeef00000006 dest=3
#    17: Port 0 send flit 2a00400ffffdeadbeef00000006 (tail=0 dst=2 vc=1)
#    17: Port 1 send flit 2e00602ffffdeadbeef00000005 (tail=0 dst=3 vc=1)
#    17: Port 2 send flit 2200002ffffdeadbeef00000004 (tail=0 dst=0 vc=1)
#    17: Port 3 send flit 2600202ffffdeadbeef00000003 (tail=0 dst=1 vc=1)
#    17: Port 0 recv flit 2200002ffffdeadbeef00000001 (tail=0 dst=0 vc=1) 
#    17: Port 3 recv flit 2e00602ffffdeadbeef00000001 (tail=0 dst=3 vc=1) 
#    17: Port 3 get a credit (vc=1)
#    17: Port 2 put a credit (vc=1)
#    17: Port 3 put a credit (vc=1)
#    17: Device 0 send flit 2a00400ffffdeadbeef00000008
#    17: Device 1 send flit 2e00602ffffdeadbeef00000007
#    17: Device 2 send flit 2200002ffffdeadbeef00000006
#    17: Device 3 send flit 2600202ffffdeadbeef00000005
#    17: Device 2 recv flit 2a00400ffffdeadbeef00000000
#    17: Device 3 recv flit 2e00602ffffdeadbeef00000000
#    17: [ENQ] data=2a00400ffffdeadbeef00000008
#    17: [DEQ] data=2a00400ffffdeadbeef00000006
#    17: [ENQ] data=2200002ffffdeadbeef00000001
#    17: [ENQ] data=2e00602ffffdeadbeef00000007
#    17: [DEQ] data=2e00602ffffdeadbeef00000005
#    17: [ENQ] data=2200002ffffdeadbeef00000006
#    17: [DEQ] data=2200002ffffdeadbeef00000004
#    17: [DEQ] data=2a00400ffffdeadbeef00000000
#    17: [ENQ] data=2600202ffffdeadbeef00000005
#    17: [DEQ] data=2600202ffffdeadbeef00000003
#    17: [ENQ] data=2e00602ffffdeadbeef00000001
#    17: [DEQ] data=2e00602ffffdeadbeef00000000
#    17: [m0- t] addr=deadbeef00000008 dest=2
#    17: [m1- t] addr=deadbeef00000007 dest=3
#    18: Port 0 send flit 2a00400ffffdeadbeef00000007 (tail=0 dst=2 vc=1)
#    18: Port 1 send flit 2e00602ffffdeadbeef00000006 (tail=0 dst=3 vc=1)
#    18: Port 2 send flit 2200002ffffdeadbeef00000005 (tail=0 dst=0 vc=1)
#    18: Port 3 send flit 2600202ffffdeadbeef00000004 (tail=0 dst=1 vc=1)
#    18: Port 0 recv flit 2200002ffffdeadbeef00000002 (tail=0 dst=0 vc=1) 
#    18: Port 1 recv flit 2600202ffffdeadbeef00000000 (tail=0 dst=1 vc=1) 
#    18: Port 2 recv flit 2a00400ffffdeadbeef00000001 (tail=0 dst=2 vc=1) 
#    18: Port 3 recv flit 2e00602ffffdeadbeef00000002 (tail=0 dst=3 vc=1) 
#    18: Port 0 get a credit (vc=1)
#    18: Port 1 get a credit (vc=1)
#    18: Port 3 get a credit (vc=1)
#    18: Port 0 put a credit (vc=1)
#    18: Device 0 send flit 2a00400ffffdeadbeef00000009
#    18: Device 1 send flit 2e00602ffffdeadbeef00000008
#    18: Device 2 send flit 2200002ffffdeadbeef00000007
#    18: Device 3 send flit 2600202ffffdeadbeef00000006
#    18: Device 0 recv flit 2200002ffffdeadbeef00000000
#    18: [ENQ] data=2a00400ffffdeadbeef00000009
#    18: [DEQ] data=2a00400ffffdeadbeef00000007
#    18: [ENQ] data=2200002ffffdeadbeef00000002
#    18: [DEQ] data=2200002ffffdeadbeef00000000
#    18: [ENQ] data=2e00602ffffdeadbeef00000008
#    18: [DEQ] data=2e00602ffffdeadbeef00000006
#    18: [ENQ] data=2600202ffffdeadbeef00000000
#    18: [ENQ] data=2200002ffffdeadbeef00000007
#    18: [DEQ] data=2200002ffffdeadbeef00000005
#    18: [ENQ] data=2a00400ffffdeadbeef00000001
#    18: [ENQ] data=2600202ffffdeadbeef00000006
#    18: [DEQ] data=2600202ffffdeadbeef00000004
#    18: [ENQ] data=2e00602ffffdeadbeef00000002
#    18: [m0- t] addr=deadbeef00000009 dest=2
#    18: [m1- t] addr=deadbeef00000008 dest=3
#    19: Port 0 send flit 2a00400ffffdeadbeef00000008 (tail=0 dst=2 vc=1)
#    19: Port 1 send flit 2e00602ffffdeadbeef00000007 (tail=0 dst=3 vc=1)
#    19: Port 2 send flit 2200002ffffdeadbeef00000006 (tail=0 dst=0 vc=1)
#    19: Port 3 send flit 2600202ffffdeadbeef00000005 (tail=0 dst=1 vc=1)
#    19: Port 1 recv flit 2600202ffffdeadbeef00000001 (tail=0 dst=1 vc=1) 
#    19: Port 1 get a credit (vc=1)
#    19: Port 2 get a credit (vc=1)
#    19: Port 3 get a credit (vc=1)
#    19: Port 3 put a credit (vc=1)
#    19: Device 0 send flit 2a00400ffffdeadbeef0000000a
#    19: Device 1 send flit 2e00602ffffdeadbeef00000009
#    19: Device 2 send flit 2200002ffffdeadbeef00000008
#    19: Device 3 send flit 2600202ffffdeadbeef00000007
#    19: Device 3 recv flit 2e00602ffffdeadbeef00000001
#    19: [ENQ] data=2a00400ffffdeadbeef0000000a
#    19: [DEQ] data=2a00400ffffdeadbeef00000008
#    19: [ENQ] data=2e00602ffffdeadbeef00000009
#    19: [DEQ] data=2e00602ffffdeadbeef00000007
#    19: [ENQ] data=2600202ffffdeadbeef00000001
#    19: [ENQ] data=2200002ffffdeadbeef00000008
#    19: [DEQ] data=2200002ffffdeadbeef00000006
#    19: [ENQ] data=2600202ffffdeadbeef00000007
#    19: [DEQ] data=2600202ffffdeadbeef00000005
#    19: [DEQ] data=2e00602ffffdeadbeef00000001
#    19: [m0- t] addr=deadbeef0000000a dest=2
#    19: [m1- t] addr=deadbeef00000009 dest=3
#    19: [s0- t] addr=deadbeef00000000 dest=0
#    20: Port 0 send flit 2a00400ffffdeadbeef00000009 (tail=0 dst=2 vc=1)
#    20: Port 1 send flit 2e00602ffffdeadbeef00000008 (tail=0 dst=3 vc=1)
#    20: Port 2 send flit 2200002ffffdeadbeef00000007 (tail=0 dst=0 vc=1)
#    20: Port 3 send flit 2600202ffffdeadbeef00000006 (tail=0 dst=1 vc=1)
#    20: Port 3 recv flit 2e00602ffffdeadbeef00000003 (tail=0 dst=3 vc=1) 
#    20: Port 3 get a credit (vc=1)
#    20: Port 0 put a credit (vc=1)
#    20: Port 1 put a credit (vc=1)
#    20: Port 2 put a credit (vc=1)
#    20: Device 0 send flit 2a00400ffffdeadbeef0000000b
#    20: Device 1 send flit 2e00602ffffdeadbeef0000000a
#    20: Device 2 send flit 2200002ffffdeadbeef00000009
#    20: Device 3 send flit 2600202ffffdeadbeef00000008
#    20: Device 0 recv flit 2200002ffffdeadbeef00000001
#    20: Device 1 recv flit 2600202ffffdeadbeef00000000
#    20: Device 2 recv flit 2a00400ffffdeadbeef00000001
#    20: [ENQ] data=2a00400ffffdeadbeef0000000b
#    20: [DEQ] data=2a00400ffffdeadbeef00000009
#    20: [DEQ] data=2200002ffffdeadbeef00000001
#    20: [ENQ] data=2e00602ffffdeadbeef0000000a
#    20: [DEQ] data=2e00602ffffdeadbeef00000008
#    20: [DEQ] data=2600202ffffdeadbeef00000000
#    20: [ENQ] data=2200002ffffdeadbeef00000009
#    20: [DEQ] data=2200002ffffdeadbeef00000007
#    20: [DEQ] data=2a00400ffffdeadbeef00000001
#    20: [ENQ] data=2600202ffffdeadbeef00000008
#    20: [DEQ] data=2600202ffffdeadbeef00000006
#    20: [ENQ] data=2e00602ffffdeadbeef00000003
#    20: [m0- t] addr=deadbeef0000000b dest=2
#    20: [m1- t] addr=deadbeef0000000a dest=3
#    21: Port 3 send flit 2600202ffffdeadbeef00000007 (tail=0 dst=1 vc=1)
#    21: Port 0 recv flit 2200002ffffdeadbeef00000003 (tail=0 dst=0 vc=1) 
#    21: Port 1 recv flit 2600202ffffdeadbeef00000002 (tail=0 dst=1 vc=1) 
#    21: Port 2 recv flit 2a00400ffffdeadbeef00000002 (tail=0 dst=2 vc=1) 
#    21: Port 3 recv flit 2e00602ffffdeadbeef00000004 (tail=0 dst=3 vc=1) 
#    21: Port 0 get a credit (vc=1)
#    21: Port 1 get a credit (vc=1)
#    21: Port 3 get a credit (vc=1)
#    21: Port 3 put a credit (vc=1)
#    21: Device 0 send flit 2a00400ffffdeadbeef0000000c
#    21: Device 1 send flit 2e00602ffffdeadbeef0000000b
#    21: Device 2 send flit 2200002ffffdeadbeef0000000a
#    21: Device 3 send flit 2600202ffffdeadbeef00000009
#    21: Device 3 recv flit 2e00602ffffdeadbeef00000002
#    21: [ENQ] data=2a00400ffffdeadbeef0000000c
#    21: [ENQ] data=2200002ffffdeadbeef00000003
#    21: [ENQ] data=2e00602ffffdeadbeef0000000b
#    21: [ENQ] data=2600202ffffdeadbeef00000002
#    21: [ENQ] data=2200002ffffdeadbeef0000000a
#    21: [ENQ] data=2a00400ffffdeadbeef00000002
#    21: [ENQ] data=2600202ffffdeadbeef00000009
#    21: [DEQ] data=2600202ffffdeadbeef00000007
#    21: [ENQ] data=2e00602ffffdeadbeef00000004
#    21: [DEQ] data=2e00602ffffdeadbeef00000002
#    21: [m0- t] addr=deadbeef0000000c dest=2
#    21: [m1- t] addr=deadbeef0000000b dest=3
#    21: [s0- t] addr=deadbeef00000001 dest=0
#    21: [s1- t] addr=deadbeef00000000 dest=1
#    22: Port 0 send flit 2a00400ffffdeadbeef0000000a (tail=0 dst=2 vc=1)
#    22: Port 1 send flit 2e00602ffffdeadbeef00000009 (tail=0 dst=3 vc=1)
#    22: Port 3 send flit 2600202ffffdeadbeef00000008 (tail=0 dst=1 vc=1)
#    22: Port 1 recv flit 2600202ffffdeadbeef00000003 (tail=0 dst=1 vc=1) 
#    22: Port 1 get a credit (vc=1)
#    22: Port 2 get a credit (vc=1)
#    22: Port 3 get a credit (vc=1)
#    22: Port 0 put a credit (vc=1)
#    22: Port 1 put a credit (vc=1)
#    22: Device 0 send flit 2a00400ffffdeadbeef0000000d
#    22: Device 1 send flit 2e00602ffffdeadbeef0000000c
#    22: Device 2 send flit 2200002ffffdeadbeef0000000b
#    22: Device 3 send flit 2600202ffffdeadbeef0000000a
#    22: Device 0 recv flit 2200002ffffdeadbeef00000002
#    22: Device 1 recv flit 2600202ffffdeadbeef00000001
#    22: [ENQ] data=2a00400ffffdeadbeef0000000d
#    22: [DEQ] data=2a00400ffffdeadbeef0000000a
#    22: [DEQ] data=2200002ffffdeadbeef00000002
#    22: [ENQ] data=2e00602ffffdeadbeef0000000c
#    22: [DEQ] data=2e00602ffffdeadbeef00000009
#    22: [ENQ] data=2600202ffffdeadbeef00000003
#    22: [DEQ] data=2600202ffffdeadbeef00000001
#    22: [ENQ] data=2200002ffffdeadbeef0000000b
#    22: [ENQ] data=2600202ffffdeadbeef0000000a
#    22: [DEQ] data=2600202ffffdeadbeef00000008
#    22: [m0- t] addr=deadbeef0000000d dest=2
#    22: [m1- t] addr=deadbeef0000000c dest=3
#    23: Port 1 send flit 2e00602ffffdeadbeef0000000a (tail=0 dst=3 vc=1)
#    23: Port 2 send flit 2200002ffffdeadbeef00000008 (tail=0 dst=0 vc=1)
#    23: Port 3 send flit 2600202ffffdeadbeef00000009 (tail=0 dst=1 vc=1)
#    23: Port 3 recv flit 2e00602ffffdeadbeef00000005 (tail=0 dst=3 vc=1) 
#    23: Port 2 put a credit (vc=1)
#    23: Port 3 put a credit (vc=1)
#    23: Device 0 send flit 2a00400ffffdeadbeef0000000e
#    23: Device 1 send flit 2e00602ffffdeadbeef0000000d
#    23: Device 3 send flit 2600202ffffdeadbeef0000000b
#    23: Device 2 recv flit 2a00400ffffdeadbeef00000002
#    23: Device 3 recv flit 2e00602ffffdeadbeef00000003
#    23: [ENQ] data=2a00400ffffdeadbeef0000000e
#    23: [ENQ] data=2e00602ffffdeadbeef0000000d
#    23: [DEQ] data=2e00602ffffdeadbeef0000000a
#    23: [DEQ] data=2200002ffffdeadbeef00000008
#    23: [DEQ] data=2a00400ffffdeadbeef00000002
#    23: [ENQ] data=2600202ffffdeadbeef0000000b
#    23: [DEQ] data=2600202ffffdeadbeef00000009
#    23: [ENQ] data=2e00602ffffdeadbeef00000005
#    23: [DEQ] data=2e00602ffffdeadbeef00000003
#    23: [m0- t] addr=deadbeef0000000e dest=2
#    23: [m1- t] addr=deadbeef0000000d dest=3
#    23: [s0- t] addr=deadbeef00000002 dest=0
#    23: [s1- t] addr=deadbeef00000001 dest=1
#    24: Port 3 send flit 2600202ffffdeadbeef0000000a (tail=0 dst=1 vc=1)
#    24: Port 0 recv flit 2200002ffffdeadbeef00000004 (tail=0 dst=0 vc=1) 
#    24: Port 1 recv flit 2600202ffffdeadbeef00000004 (tail=0 dst=1 vc=1) 
#    24: Port 2 recv flit 2a00400ffffdeadbeef00000003 (tail=0 dst=2 vc=1) 
#    24: Port 3 recv flit 2e00602ffffdeadbeef00000006 (tail=0 dst=3 vc=1) 
#    24: Port 0 get a credit (vc=1)
#    24: Port 1 get a credit (vc=1)
#    24: Port 3 get a credit (vc=1)
#    24: Port 0 put a credit (vc=1)
#    24: Port 1 put a credit (vc=1)
#    24: Device 1 send flit 2e00602ffffdeadbeef0000000e
#    24: Device 2 send flit 2200002ffffdeadbeef0000000c
#    24: Device 3 send flit 2600202ffffdeadbeef0000000c
#    24: Device 0 recv flit 2200002ffffdeadbeef00000003
#    24: Device 1 recv flit 2600202ffffdeadbeef00000002
#    24: [ENQ] data=2200002ffffdeadbeef00000004
#    24: [DEQ] data=2200002ffffdeadbeef00000003
#    24: [ENQ] data=2e00602ffffdeadbeef0000000e
#    24: [ENQ] data=2600202ffffdeadbeef00000004
#    24: [DEQ] data=2600202ffffdeadbeef00000002
#    24: [ENQ] data=2200002ffffdeadbeef0000000c
#    24: [ENQ] data=2a00400ffffdeadbeef00000003
#    24: [ENQ] data=2600202ffffdeadbeef0000000c
#    24: [DEQ] data=2600202ffffdeadbeef0000000a
#    24: [ENQ] data=2e00602ffffdeadbeef00000006
#    24: [m1- t] addr=deadbeef0000000e dest=3
#    25: Port 0 send flit 2a00400ffffdeadbeef0000000b (tail=0 dst=2 vc=1)
#    25: Port 1 send flit 2e00602ffffdeadbeef0000000b (tail=0 dst=3 vc=1)
#    25: Port 3 send flit 2600202ffffdeadbeef0000000b (tail=0 dst=1 vc=1)
#    25: Port 1 recv flit 2600202ffffdeadbeef00000005 (tail=0 dst=1 vc=1) 
#    25: Port 1 get a credit (vc=1)
#    25: Port 2 get a credit (vc=1)
#    25: Port 3 get a credit (vc=1)
#    25: Port 3 put a credit (vc=1)
#    25: Device 3 send flit 2600202ffffdeadbeef0000000d
#    25: Device 3 recv flit 2e00602ffffdeadbeef00000004
#    25: [DEQ] data=2a00400ffffdeadbeef0000000b
#    25: [DEQ] data=2e00602ffffdeadbeef0000000b
#    25: [ENQ] data=2600202ffffdeadbeef00000005
#    25: [ENQ] data=2600202ffffdeadbeef0000000d
#    25: [DEQ] data=2600202ffffdeadbeef0000000b
#    25: [DEQ] data=2e00602ffffdeadbeef00000004
#    25: [s0- t] addr=deadbeef00000003 dest=0
#    25: [s1- t] addr=deadbeef00000002 dest=1
#    26: Port 1 send flit 2e00602ffffdeadbeef0000000c (tail=0 dst=3 vc=1)
#    26: Port 2 send flit 2200002ffffdeadbeef00000009 (tail=0 dst=0 vc=1)
#    26: Port 3 send flit 2600202ffffdeadbeef0000000c (tail=0 dst=1 vc=1)
#    26: Port 3 recv flit 2e00602ffffdeadbeef00000007 (tail=0 dst=3 vc=1) 
#    26: Port 0 put a credit (vc=1)
#    26: Port 1 put a credit (vc=1)
#    26: Port 2 put a credit (vc=1)
#    26: Device 0 send flit 2a00400ffffdeadbeef0000000f
#    26: Device 1 send flit 2e00602ffffdeadbeef0000000f
#    26: Device 3 send flit 2600202ffffdeadbeef0000000e
#    26: Device 0 recv flit 2200002ffffdeadbeef00000004
#    26: Device 1 recv flit 2600202ffffdeadbeef00000003
#    26: Device 2 recv flit 2a00400ffffdeadbeef00000003
#    26: [ENQ] data=2a00400ffffdeadbeef0000000f
#    26: [DEQ] data=2200002ffffdeadbeef00000004
#    26: [ENQ] data=2e00602ffffdeadbeef0000000f
#    26: [DEQ] data=2e00602ffffdeadbeef0000000c
#    26: [DEQ] data=2600202ffffdeadbeef00000003
#    26: [DEQ] data=2200002ffffdeadbeef00000009
#    26: [DEQ] data=2a00400ffffdeadbeef00000003
#    26: [ENQ] data=2600202ffffdeadbeef0000000e
#    26: [DEQ] data=2600202ffffdeadbeef0000000c
#    26: [ENQ] data=2e00602ffffdeadbeef00000007
#    26: [m0- t] addr=deadbeef0000000f dest=2
#    26: [m1- t] addr=deadbeef0000000f dest=3
#    27: Port 3 send flit 2600202ffffdeadbeef0000000d (tail=0 dst=1 vc=1)
#    27: Port 0 recv flit 2200002ffffdeadbeef00000005 (tail=0 dst=0 vc=1) 
#    27: Port 1 recv flit 2600202ffffdeadbeef00000006 (tail=0 dst=1 vc=1) 
#    27: Port 2 recv flit 2a00400ffffdeadbeef00000004 (tail=0 dst=2 vc=1) 
#    27: Port 3 recv flit 2e00602ffffdeadbeef00000008 (tail=0 dst=3 vc=1) 
#    27: Port 0 get a credit (vc=1)
#    27: Port 1 get a credit (vc=1)
#    27: Port 3 get a credit (vc=1)
#    27: Port 3 put a credit (vc=1)
#    27: Device 1 send flit 2e00602ffffdeadbeef00000010
#    27: Device 2 send flit 2200002ffffdeadbeef0000000d
#    27: Device 3 send flit 2600202ffffdeadbeef0000000f
#    27: Device 3 recv flit 2e00602ffffdeadbeef00000005
#    27: [ENQ] data=2200002ffffdeadbeef00000005
#    27: [ENQ] data=2e00602ffffdeadbeef00000010
#    27: [ENQ] data=2600202ffffdeadbeef00000006
#    27: [ENQ] data=2200002ffffdeadbeef0000000d
#    27: [ENQ] data=2a00400ffffdeadbeef00000004
#    27: [ENQ] data=2600202ffffdeadbeef0000000f
#    27: [DEQ] data=2600202ffffdeadbeef0000000d
#    27: [ENQ] data=2e00602ffffdeadbeef00000008
#    27: [DEQ] data=2e00602ffffdeadbeef00000005
#    27: [m1- t] addr=deadbeef00000010 dest=3
#    27: [s0- t] addr=deadbeef00000004 dest=0
#    27: [s1- t] addr=deadbeef00000003 dest=1
#    28: Port 0 send flit 2a00400ffffdeadbeef0000000c (tail=0 dst=2 vc=1)
#    28: Port 1 send flit 2e00602ffffdeadbeef0000000d (tail=0 dst=3 vc=1)
#    28: Port 3 send flit 2600202ffffdeadbeef0000000e (tail=0 dst=1 vc=1)
#    28: Port 1 recv flit 2600202ffffdeadbeef00000007 (tail=0 dst=1 vc=1) 
#    28: Port 1 get a credit (vc=1)
#    28: Port 2 get a credit (vc=1)
#    28: Port 3 get a credit (vc=1)
#    28: Port 1 put a credit (vc=1)
#    28: Device 3 send flit 2600202ffffdeadbeef00000010
#    28: Device 1 recv flit 2600202ffffdeadbeef00000004
#    28: [DEQ] data=2a00400ffffdeadbeef0000000c
#    28: [DEQ] data=2e00602ffffdeadbeef0000000d
#    28: [ENQ] data=2600202ffffdeadbeef00000007
#    28: [DEQ] data=2600202ffffdeadbeef00000004
#    28: [ENQ] data=2600202ffffdeadbeef00000010
#    28: [DEQ] data=2600202ffffdeadbeef0000000e
#    29: Port 1 send flit 2e00602ffffdeadbeef0000000e (tail=0 dst=3 vc=1)
#    29: Port 2 send flit 2200002ffffdeadbeef0000000a (tail=0 dst=0 vc=1)
#    29: Port 3 send flit 2600202ffffdeadbeef0000000f (tail=0 dst=1 vc=1)
#    29: Port 3 recv flit 2e00602ffffdeadbeef00000009 (tail=0 dst=3 vc=1) 
#    29: Port 0 put a credit (vc=1)
#    29: Port 2 put a credit (vc=1)
#    29: Port 3 put a credit (vc=1)
#    29: Device 0 send flit 2a00400ffffdeadbeef00000010
#    29: Device 1 send flit 2e00602ffffdeadbeef00000011
#    29: Device 3 send flit 2600202ffffdeadbeef00000011
#    29: Device 0 recv flit 2200002ffffdeadbeef00000005
#    29: Device 2 recv flit 2a00400ffffdeadbeef00000004
#    29: Device 3 recv flit 2e00602ffffdeadbeef00000006
#    29: [ENQ] data=2a00400ffffdeadbeef00000010
#    29: [DEQ] data=2200002ffffdeadbeef00000005
#    29: [ENQ] data=2e00602ffffdeadbeef00000011
#    29: [DEQ] data=2e00602ffffdeadbeef0000000e
#    29: [DEQ] data=2200002ffffdeadbeef0000000a
#    29: [DEQ] data=2a00400ffffdeadbeef00000004
#    29: [ENQ] data=2600202ffffdeadbeef00000011
#    29: [DEQ] data=2600202ffffdeadbeef0000000f
#    29: [ENQ] data=2e00602ffffdeadbeef00000009
#    29: [DEQ] data=2e00602ffffdeadbeef00000006
#    29: [m0- t] addr=deadbeef00000010 dest=2
#    29: [m1- t] addr=deadbeef00000011 dest=3
#    29: [s1- t] addr=deadbeef00000004 dest=1
#    30: Port 0 recv flit 2200002ffffdeadbeef00000006 (tail=0 dst=0 vc=1) 
#    30: Port 1 recv flit 2600202ffffdeadbeef00000008 (tail=0 dst=1 vc=1) 
#    30: Port 2 recv flit 2a00400ffffdeadbeef00000005 (tail=0 dst=2 vc=1) 
#    30: Port 3 recv flit 2e00602ffffdeadbeef0000000a (tail=0 dst=3 vc=1) 
#    30: Port 0 get a credit (vc=1)
#    30: Port 1 get a credit (vc=1)
#    30: Port 3 get a credit (vc=1)
#    30: Port 1 put a credit (vc=1)
#    30: Device 1 send flit 2e00602ffffdeadbeef00000012
#    30: Device 2 send flit 2200002ffffdeadbeef0000000e
#    30: Device 3 send flit 2600202ffffdeadbeef00000012
#    30: Device 1 recv flit 2600202ffffdeadbeef00000005
#    30: [ENQ] data=2200002ffffdeadbeef00000006
#    30: [ENQ] data=2e00602ffffdeadbeef00000012
#    30: [ENQ] data=2600202ffffdeadbeef00000008
#    30: [DEQ] data=2600202ffffdeadbeef00000005
#    30: [ENQ] data=2200002ffffdeadbeef0000000e
#    30: [ENQ] data=2a00400ffffdeadbeef00000005
#    30: [ENQ] data=2600202ffffdeadbeef00000012
#    30: [ENQ] data=2e00602ffffdeadbeef0000000a
#    30: [m1- t] addr=deadbeef00000012 dest=3
#    30: [s0- t] addr=deadbeef00000005 dest=0
#    31: Port 0 send flit 2a00400ffffdeadbeef0000000d (tail=0 dst=2 vc=1)
#    31: Port 1 send flit 2e00602ffffdeadbeef0000000f (tail=0 dst=3 vc=1)
#    31: Port 3 send flit 2600202ffffdeadbeef00000010 (tail=0 dst=1 vc=1)
#    31: Port 1 recv flit 2600202ffffdeadbeef00000009 (tail=0 dst=1 vc=1) 
#    31: Port 1 get a credit (vc=1)
#    31: Port 2 get a credit (vc=1)
#    31: Port 3 get a credit (vc=1)
#    31: Port 3 put a credit (vc=1)
#    31: Device 3 send flit 2600202ffffdeadbeef00000013
#    31: Device 3 recv flit 2e00602ffffdeadbeef00000007
#    31: [DEQ] data=2a00400ffffdeadbeef0000000d
#    31: [DEQ] data=2e00602ffffdeadbeef0000000f
#    31: [ENQ] data=2600202ffffdeadbeef00000009
#    31: [ENQ] data=2600202ffffdeadbeef00000013
#    31: [DEQ] data=2600202ffffdeadbeef00000010
#    31: [DEQ] data=2e00602ffffdeadbeef00000007
#    31: [s1- t] addr=deadbeef00000005 dest=1
#    32: Port 1 send flit 2e00602ffffdeadbeef00000010 (tail=0 dst=3 vc=1)
#    32: Port 2 send flit 2200002ffffdeadbeef0000000b (tail=0 dst=0 vc=1)
#    32: Port 3 send flit 2600202ffffdeadbeef00000011 (tail=0 dst=1 vc=1)
#    32: Port 3 recv flit 2e00602ffffdeadbeef0000000b (tail=0 dst=3 vc=1) 
#    32: Port 0 put a credit (vc=1)
#    32: Port 1 put a credit (vc=1)
#    32: Port 2 put a credit (vc=1)
#    32: Device 0 send flit 2a00400ffffdeadbeef00000011
#    32: Device 1 send flit 2e00602ffffdeadbeef00000013
#    32: Device 3 send flit 2600202ffffdeadbeef00000014
#    32: Device 0 recv flit 2200002ffffdeadbeef00000006
#    32: Device 1 recv flit 2600202ffffdeadbeef00000006
#    32: Device 2 recv flit 2a00400ffffdeadbeef00000005
#    32: [ENQ] data=2a00400ffffdeadbeef00000011
#    32: [DEQ] data=2200002ffffdeadbeef00000006
#    32: [ENQ] data=2e00602ffffdeadbeef00000013
#    32: [DEQ] data=2e00602ffffdeadbeef00000010
#    32: [DEQ] data=2600202ffffdeadbeef00000006
#    32: [DEQ] data=2200002ffffdeadbeef0000000b
#    32: [DEQ] data=2a00400ffffdeadbeef00000005
#    32: [ENQ] data=2600202ffffdeadbeef00000014
#    32: [DEQ] data=2600202ffffdeadbeef00000011
#    32: [ENQ] data=2e00602ffffdeadbeef0000000b
#    32: [m0- t] addr=deadbeef00000011 dest=2
#    32: [m1- t] addr=deadbeef00000013 dest=3
#    33: Port 0 recv flit 2200002ffffdeadbeef00000007 (tail=0 dst=0 vc=1) 
#    33: Port 1 recv flit 2600202ffffdeadbeef0000000a (tail=0 dst=1 vc=1) 
#    33: Port 2 recv flit 2a00400ffffdeadbeef00000006 (tail=0 dst=2 vc=1) 
#    33: Port 0 get a credit (vc=1)
#    33: Port 1 get a credit (vc=1)
#    33: Port 3 get a credit (vc=1)
#    33: Port 3 put a credit (vc=1)
#    33: Device 1 send flit 2e00602ffffdeadbeef00000014
#    33: Device 2 send flit 2200002ffffdeadbeef0000000f
#    33: Device 3 send flit 2600202ffffdeadbeef00000015
#    33: Device 3 recv flit 2e00602ffffdeadbeef00000008
#    33: [ENQ] data=2200002ffffdeadbeef00000007
#    33: [ENQ] data=2e00602ffffdeadbeef00000014
#    33: [ENQ] data=2600202ffffdeadbeef0000000a
#    33: [ENQ] data=2200002ffffdeadbeef0000000f
#    33: [ENQ] data=2a00400ffffdeadbeef00000006
#    33: [ENQ] data=2600202ffffdeadbeef00000015
#    33: [DEQ] data=2e00602ffffdeadbeef00000008
#    33: [m1- t] addr=deadbeef00000014 dest=3
#    33: [s0- t] addr=deadbeef00000006 dest=0
#    33: [s1- t] addr=deadbeef00000006 dest=1
#    34: Port 0 send flit 2a00400ffffdeadbeef0000000e (tail=0 dst=2 vc=1)
#    34: Port 1 send flit 2e00602ffffdeadbeef00000011 (tail=0 dst=3 vc=1)
#    34: Port 3 send flit 2600202ffffdeadbeef00000012 (tail=0 dst=1 vc=1)
#    34: Port 3 recv flit 2e00602ffffdeadbeef0000000c (tail=0 dst=3 vc=1) 
#    34: Port 1 get a credit (vc=1)
#    34: Port 2 get a credit (vc=1)
#    34: Port 3 get a credit (vc=1)
#    34: Port 1 put a credit (vc=1)
#    34: Device 1 recv flit 2600202ffffdeadbeef00000007
#    34: [DEQ] data=2a00400ffffdeadbeef0000000e
#    34: [DEQ] data=2e00602ffffdeadbeef00000011
#    34: [DEQ] data=2600202ffffdeadbeef00000007
#    34: [DEQ] data=2600202ffffdeadbeef00000012
#    34: [ENQ] data=2e00602ffffdeadbeef0000000c
#    35: Port 1 send flit 2e00602ffffdeadbeef00000012 (tail=0 dst=3 vc=1)
#    35: Port 2 send flit 2200002ffffdeadbeef0000000c (tail=0 dst=0 vc=1)
#    35: Port 3 send flit 2600202ffffdeadbeef00000013 (tail=0 dst=1 vc=1)
#    35: Port 1 recv flit 2600202ffffdeadbeef0000000b (tail=0 dst=1 vc=1) 
#    35: Port 0 put a credit (vc=1)
#    35: Port 2 put a credit (vc=1)
#    35: Port 3 put a credit (vc=1)
#    35: Device 0 send flit 2a00400ffffdeadbeef00000012
#    35: Device 1 send flit 2e00602ffffdeadbeef00000015
#    35: Device 3 send flit 2600202ffffdeadbeef00000016
#    35: Device 0 recv flit 2200002ffffdeadbeef00000007
#    35: Device 2 recv flit 2a00400ffffdeadbeef00000006
#    35: Device 3 recv flit 2e00602ffffdeadbeef00000009
#    35: [ENQ] data=2a00400ffffdeadbeef00000012
#    35: [DEQ] data=2200002ffffdeadbeef00000007
#    35: [ENQ] data=2e00602ffffdeadbeef00000015
#    35: [DEQ] data=2e00602ffffdeadbeef00000012
#    35: [ENQ] data=2600202ffffdeadbeef0000000b
#    35: [DEQ] data=2200002ffffdeadbeef0000000c
#    35: [DEQ] data=2a00400ffffdeadbeef00000006
#    35: [ENQ] data=2600202ffffdeadbeef00000016
#    35: [DEQ] data=2600202ffffdeadbeef00000013
#    35: [DEQ] data=2e00602ffffdeadbeef00000009
#    35: [m0- t] addr=deadbeef00000012 dest=2
#    35: [m1- t] addr=deadbeef00000015 dest=3
#    35: [s1- t] addr=deadbeef00000007 dest=1
#    36: Port 2 recv flit 2a00400ffffdeadbeef00000007 (tail=0 dst=2 vc=1) 
#    36: Port 3 recv flit 2e00602ffffdeadbeef0000000d (tail=0 dst=3 vc=1) 
#    36: Port 0 get a credit (vc=1)
#    36: Port 1 get a credit (vc=1)
#    36: Port 3 get a credit (vc=1)
#    36: Port 1 put a credit (vc=1)
#    36: Device 1 send flit 2e00602ffffdeadbeef00000016
#    36: Device 2 send flit 2200002ffffdeadbeef00000010
#    36: Device 3 send flit 3600203ffffdeadbeef00000017
#    36: Device 1 recv flit 2600202ffffdeadbeef00000008
#    36: [ENQ] data=2e00602ffffdeadbeef00000016
#    36: [DEQ] data=2600202ffffdeadbeef00000008
#    36: [ENQ] data=2200002ffffdeadbeef00000010
#    36: [ENQ] data=2a00400ffffdeadbeef00000007
#    36: [ENQ] data=3600203ffffdeadbeef00000017
#    36: [ENQ] data=2e00602ffffdeadbeef0000000d
#    36: [m1- t] addr=deadbeef00000016 dest=3
#    36: [s0- t] addr=deadbeef00000007 dest=0
#    37: Port 0 send flit 2a00400ffffdeadbeef0000000f (tail=0 dst=2 vc=1)
#    37: Port 1 send flit 2e00602ffffdeadbeef00000013 (tail=0 dst=3 vc=1)
#    37: Port 3 send flit 2600202ffffdeadbeef00000014 (tail=0 dst=1 vc=1)
#    37: Port 0 recv flit 2200002ffffdeadbeef00000008 (tail=0 dst=0 vc=1) 
#    37: Port 1 recv flit 2600202ffffdeadbeef0000000c (tail=0 dst=1 vc=1) 
#    37: Port 1 get a credit (vc=1)
#    37: Port 2 get a credit (vc=1)
#    37: Port 3 get a credit (vc=1)
#    37: Port 3 put a credit (vc=1)
#    37: Device 3 recv flit 2e00602ffffdeadbeef0000000a
#    37: [DEQ] data=2a00400ffffdeadbeef0000000f
#    37: [ENQ] data=2200002ffffdeadbeef00000008
#    37: [DEQ] data=2e00602ffffdeadbeef00000013
#    37: [ENQ] data=2600202ffffdeadbeef0000000c
#    37: [DEQ] data=2600202ffffdeadbeef00000014
#    37: [DEQ] data=2e00602ffffdeadbeef0000000a
#    37: [s1- t] addr=deadbeef00000008 dest=1
#    38: Port 1 send flit 2e00602ffffdeadbeef00000014 (tail=0 dst=3 vc=1)
#    38: Port 2 send flit 2200002ffffdeadbeef0000000d (tail=0 dst=0 vc=1)
#    38: Port 3 send flit 2600202ffffdeadbeef00000015 (tail=0 dst=1 vc=1)
#    38: Port 3 recv flit 2e00602ffffdeadbeef0000000e (tail=0 dst=3 vc=1) 
#    38: Port 1 put a credit (vc=1)
#    38: Port 2 put a credit (vc=1)
#    38: Device 0 send flit 2a00400ffffdeadbeef00000013
#    38: Device 1 send flit 3e00603ffffdeadbeef00000017
#    38: Device 1 recv flit 2600202ffffdeadbeef00000009
#    38: Device 2 recv flit 2a00400ffffdeadbeef00000007
#    38: [ENQ] data=2a00400ffffdeadbeef00000013
#    38: [ENQ] data=3e00603ffffdeadbeef00000017
#    38: [DEQ] data=2e00602ffffdeadbeef00000014
#    38: [DEQ] data=2600202ffffdeadbeef00000009
#    38: [DEQ] data=2200002ffffdeadbeef0000000d
#    38: [DEQ] data=2a00400ffffdeadbeef00000007
#    38: [DEQ] data=2600202ffffdeadbeef00000015
#    38: [ENQ] data=2e00602ffffdeadbeef0000000e
#    38: [m0- t] addr=deadbeef00000013 dest=2
#    38: [m1- t] addr=deadbeef00000017 dest=3
#    39: Port 1 recv flit 2600202ffffdeadbeef0000000d (tail=0 dst=1 vc=1) 
#    39: Port 2 recv flit 2a00400ffffdeadbeef00000008 (tail=0 dst=2 vc=1) 
#    39: Port 0 get a credit (vc=1)
#    39: Port 1 get a credit (vc=1)
#    39: Port 3 get a credit (vc=1)
#    39: Port 0 put a credit (vc=1)
#    39: Port 3 put a credit (vc=1)
#    39: Device 2 send flit 2200002ffffdeadbeef00000011
#    39: Device 0 recv flit 2200002ffffdeadbeef00000008
#    39: Device 3 recv flit 2e00602ffffdeadbeef0000000b
#    39: [DEQ] data=2200002ffffdeadbeef00000008
#    39: [ENQ] data=2600202ffffdeadbeef0000000d
#    39: [ENQ] data=2200002ffffdeadbeef00000011
#    39: [ENQ] data=2a00400ffffdeadbeef00000008
#    39: [DEQ] data=2e00602ffffdeadbeef0000000b
#    39: [s1- t] addr=deadbeef00000009 dest=1
#    40: Port 0 send flit 2a00400ffffdeadbeef00000010 (tail=0 dst=2 vc=1)
#    40: Port 1 send flit 2e00602ffffdeadbeef00000015 (tail=0 dst=3 vc=1)
#    40: Port 3 send flit 2600202ffffdeadbeef00000016 (tail=0 dst=1 vc=1)
#    40: Port 3 recv flit 2e00602ffffdeadbeef0000000f (tail=0 dst=3 vc=1) 
#    40: Port 1 get a credit (vc=1)
#    40: Port 2 get a credit (vc=1)
#    40: Port 3 get a credit (vc=1)
#    40: Port 1 put a credit (vc=1)
#    40: Device 1 recv flit 2600202ffffdeadbeef0000000a
#    40: [DEQ] data=2a00400ffffdeadbeef00000010
#    40: [DEQ] data=2e00602ffffdeadbeef00000015
#    40: [DEQ] data=2600202ffffdeadbeef0000000a
#    40: [DEQ] data=2600202ffffdeadbeef00000016
#    40: [ENQ] data=2e00602ffffdeadbeef0000000f
#    40: [s0- t] addr=deadbeef00000008 dest=0
#    41: Port 1 send flit 2e00602ffffdeadbeef00000016 (tail=0 dst=3 vc=1)
#    41: Port 2 send flit 2200002ffffdeadbeef0000000e (tail=0 dst=0 vc=1)
#    41: Port 3 send flit 3600203ffffdeadbeef00000017 (tail=1 dst=1 vc=1)
#    41: Port 0 recv flit 2200002ffffdeadbeef00000009 (tail=0 dst=0 vc=1) 
#    41: Port 1 recv flit 2600202ffffdeadbeef0000000e (tail=0 dst=1 vc=1) 
#    41: Port 2 put a credit (vc=1)
#    41: Port 3 put a credit (vc=1)
#    41: Device 0 send flit 2a00400ffffdeadbeef00000014
#    41: Device 2 recv flit 2a00400ffffdeadbeef00000008
#    41: Device 3 recv flit 2e00602ffffdeadbeef0000000c
#    41: [ENQ] data=2a00400ffffdeadbeef00000014
#    41: [ENQ] data=2200002ffffdeadbeef00000009
#    41: [DEQ] data=2e00602ffffdeadbeef00000016
#    41: [ENQ] data=2600202ffffdeadbeef0000000e
#    41: [DEQ] data=2200002ffffdeadbeef0000000e
#    41: [DEQ] data=2a00400ffffdeadbeef00000008
#    41: [DEQ] data=3600203ffffdeadbeef00000017
#    41: [DEQ] data=2e00602ffffdeadbeef0000000c
#    41: [m0- t] addr=deadbeef00000014 dest=2
#    41: [s1- t] addr=deadbeef0000000a dest=1
#    42: Port 2 recv flit 2a00400ffffdeadbeef00000009 (tail=0 dst=2 vc=1) 
#    42: Port 3 recv flit 2e00602ffffdeadbeef00000010 (tail=0 dst=3 vc=1) 
#    42: Port 0 get a credit (vc=1)
#    42: Port 1 get a credit (vc=1)
#    42: Port 3 get a credit (vc=1)
#    42: Port 1 put a credit (vc=1)
#    42: Device 2 send flit 2200002ffffdeadbeef00000012
#    42: Device 1 recv flit 2600202ffffdeadbeef0000000b
#    42: [DEQ] data=2600202ffffdeadbeef0000000b
#    42: [ENQ] data=2200002ffffdeadbeef00000012
#    42: [ENQ] data=2a00400ffffdeadbeef00000009
#    42: [ENQ] data=2e00602ffffdeadbeef00000010
#    43: Port 0 send flit 2a00400ffffdeadbeef00000011 (tail=0 dst=2 vc=1)
#    43: Port 1 send flit 3e00603ffffdeadbeef00000017 (tail=1 dst=3 vc=1)
#    43: Port 1 recv flit 2600202ffffdeadbeef0000000f (tail=0 dst=1 vc=1) 
#    43: Port 1 get a credit (vc=1)
#    43: Port 3 get a credit (vc=1)
#    43: Port 0 put a credit (vc=1)
#    43: Port 3 put a credit (vc=1)
#    43: Device 0 recv flit 2200002ffffdeadbeef00000009
#    43: Device 3 recv flit 2e00602ffffdeadbeef0000000d
#    43: [DEQ] data=2a00400ffffdeadbeef00000011
#    43: [DEQ] data=2200002ffffdeadbeef00000009
#    43: [DEQ] data=3e00603ffffdeadbeef00000017
#    43: [ENQ] data=2600202ffffdeadbeef0000000f
#    43: [DEQ] data=2e00602ffffdeadbeef0000000d
#    43: [s1- t] addr=deadbeef0000000b dest=1
#    44: Port 3 recv flit 2e00602ffffdeadbeef00000011 (tail=0 dst=3 vc=1) 
#    44: Port 1 put a credit (vc=1)
#    44: Port 2 put a credit (vc=1)
#    44: Device 0 send flit 2a00400ffffdeadbeef00000015
#    44: Device 1 recv flit 2600202ffffdeadbeef0000000c
#    44: Device 2 recv flit 2a00400ffffdeadbeef00000009
#    44: [ENQ] data=2a00400ffffdeadbeef00000015
#    44: [DEQ] data=2600202ffffdeadbeef0000000c
#    44: [DEQ] data=2a00400ffffdeadbeef00000009
#    44: [ENQ] data=2e00602ffffdeadbeef00000011
#    44: [m0- t] addr=deadbeef00000015 dest=2
#    44: [s0- t] addr=deadbeef00000009 dest=0
#    45: Port 0 recv flit 2200002ffffdeadbeef0000000a (tail=0 dst=0 vc=1) 
#    45: Port 1 recv flit 2600202ffffdeadbeef00000010 (tail=0 dst=1 vc=1) 
#    45: Port 2 recv flit 2a00400ffffdeadbeef0000000a (tail=0 dst=2 vc=1) 
#    45: Port 0 get a credit (vc=1)
#    45: Port 1 get a credit (vc=1)
#    45: Port 3 get a credit (vc=1)
#    45: Port 3 put a credit (vc=1)
#    45: Device 3 recv flit 2e00602ffffdeadbeef0000000e
#    45: [ENQ] data=2200002ffffdeadbeef0000000a
#    45: [ENQ] data=2600202ffffdeadbeef00000010
#    45: [ENQ] data=2a00400ffffdeadbeef0000000a
#    45: [DEQ] data=2e00602ffffdeadbeef0000000e
#    45: [s1- t] addr=deadbeef0000000c dest=1
#    46: Port 0 send flit 2a00400ffffdeadbeef00000012 (tail=0 dst=2 vc=1)
#    46: Port 3 recv flit 2e00602ffffdeadbeef00000012 (tail=0 dst=3 vc=1) 
#    46: Port 1 get a credit (vc=1)
#    46: Port 2 get a credit (vc=1)
#    46: Port 3 get a credit (vc=1)
#    46: Port 1 put a credit (vc=1)
#    46: Device 1 recv flit 2600202ffffdeadbeef0000000d
#    46: [DEQ] data=2a00400ffffdeadbeef00000012
#    46: [DEQ] data=2600202ffffdeadbeef0000000d
#    46: [ENQ] data=2e00602ffffdeadbeef00000012
#    47: Port 2 send flit 2200002ffffdeadbeef0000000f (tail=0 dst=0 vc=1)
#    47: Port 1 recv flit 2600202ffffdeadbeef00000011 (tail=0 dst=1 vc=1) 
#    47: Port 0 put a credit (vc=1)
#    47: Port 2 put a credit (vc=1)
#    47: Port 3 put a credit (vc=1)
#    47: Device 0 send flit 2a00400ffffdeadbeef00000016
#    47: Device 0 recv flit 2200002ffffdeadbeef0000000a
#    47: Device 2 recv flit 2a00400ffffdeadbeef0000000a
#    47: Device 3 recv flit 2e00602ffffdeadbeef0000000f
#    47: [ENQ] data=2a00400ffffdeadbeef00000016
#    47: [DEQ] data=2200002ffffdeadbeef0000000a
#    47: [ENQ] data=2600202ffffdeadbeef00000011
#    47: [DEQ] data=2200002ffffdeadbeef0000000f
#    47: [DEQ] data=2a00400ffffdeadbeef0000000a
#    47: [DEQ] data=2e00602ffffdeadbeef0000000f
#    47: [m0- t] addr=deadbeef00000016 dest=2
#    47: [s1- t] addr=deadbeef0000000d dest=1
#    48: Port 2 recv flit 2a00400ffffdeadbeef0000000b (tail=0 dst=2 vc=1) 
#    48: Port 3 recv flit 2e00602ffffdeadbeef00000013 (tail=0 dst=3 vc=1) 
#    48: Port 0 get a credit (vc=1)
#    48: Port 1 get a credit (vc=1)
#    48: Port 1 put a credit (vc=1)
#    48: Device 2 send flit 2200002ffffdeadbeef00000013
#    48: Device 1 recv flit 2600202ffffdeadbeef0000000e
#    48: [DEQ] data=2600202ffffdeadbeef0000000e
#    48: [ENQ] data=2200002ffffdeadbeef00000013
#    48: [ENQ] data=2a00400ffffdeadbeef0000000b
#    48: [ENQ] data=2e00602ffffdeadbeef00000013
#    48: [s0- t] addr=deadbeef0000000a dest=0
#    49: Port 0 send flit 2a00400ffffdeadbeef00000013 (tail=0 dst=2 vc=1)
#    49: Port 1 recv flit 2600202ffffdeadbeef00000012 (tail=0 dst=1 vc=1) 
#    49: Port 3 put a credit (vc=1)
#    49: Device 3 recv flit 2e00602ffffdeadbeef00000010
#    49: [DEQ] data=2a00400ffffdeadbeef00000013
#    49: [ENQ] data=2600202ffffdeadbeef00000012
#    49: [DEQ] data=2e00602ffffdeadbeef00000010
#    49: [s1- t] addr=deadbeef0000000e dest=1
#    50: Port 2 recv flit 2a00400ffffdeadbeef0000000c (tail=0 dst=2 vc=1) 
#    50: Port 3 recv flit 2e00602ffffdeadbeef00000014 (tail=0 dst=3 vc=1) 
#    50: Port 0 get a credit (vc=1)
#    50: Port 1 put a credit (vc=1)
#    50: Port 2 put a credit (vc=1)
#    50: Device 0 send flit 3a00401ffffdeadbeef00000017
#    50: Device 1 recv flit 2600202ffffdeadbeef0000000f
#    50: Device 2 recv flit 2a00400ffffdeadbeef0000000b
#    50: [ENQ] data=3a00401ffffdeadbeef00000017
#    50: [DEQ] data=2600202ffffdeadbeef0000000f
#    50: [ENQ] data=2a00400ffffdeadbeef0000000c
#    50: [DEQ] data=2a00400ffffdeadbeef0000000b
#    50: [ENQ] data=2e00602ffffdeadbeef00000014
#    50: [m0- t] addr=deadbeef00000017 dest=2
#    51: Port 0 send flit 2a00400ffffdeadbeef00000014 (tail=0 dst=2 vc=1)
#    51: Port 1 recv flit 2600202ffffdeadbeef00000013 (tail=0 dst=1 vc=1) 
#    51: Port 2 recv flit 2a00400ffffdeadbeef0000000d (tail=0 dst=2 vc=1) 
#    51: Port 0 get a credit (vc=1)
#    51: Port 3 put a credit (vc=1)
#    51: Device 3 recv flit 2e00602ffffdeadbeef00000011
#    51: [DEQ] data=2a00400ffffdeadbeef00000014
#    51: [ENQ] data=2600202ffffdeadbeef00000013
#    51: [ENQ] data=2a00400ffffdeadbeef0000000d
#    51: [DEQ] data=2e00602ffffdeadbeef00000011
#    51: [s1- t] addr=deadbeef0000000f dest=1
#    52: Port 0 send flit 2a00400ffffdeadbeef00000015 (tail=0 dst=2 vc=1)
#    52: Port 2 recv flit 2a00400ffffdeadbeef0000000e (tail=0 dst=2 vc=1) 
#    52: Port 3 recv flit 2e00602ffffdeadbeef00000015 (tail=0 dst=3 vc=1) 
#    52: Port 0 get a credit (vc=1)
#    52: Port 2 get a credit (vc=1)
#    52: Port 1 put a credit (vc=1)
#    52: Port 2 put a credit (vc=1)
#    52: Device 1 recv flit 2600202ffffdeadbeef00000010
#    52: Device 2 recv flit 2a00400ffffdeadbeef0000000c
#    52: [DEQ] data=2a00400ffffdeadbeef00000015
#    52: [DEQ] data=2600202ffffdeadbeef00000010
#    52: [ENQ] data=2a00400ffffdeadbeef0000000e
#    52: [DEQ] data=2a00400ffffdeadbeef0000000c
#    52: [ENQ] data=2e00602ffffdeadbeef00000015
#    53: Port 0 send flit 2a00400ffffdeadbeef00000016 (tail=0 dst=2 vc=1)
#    53: Port 2 send flit 2200002ffffdeadbeef00000010 (tail=0 dst=0 vc=1)
#    53: Port 0 recv flit 2200002ffffdeadbeef0000000b (tail=0 dst=0 vc=1) 
#    53: Port 1 recv flit 2600202ffffdeadbeef00000014 (tail=0 dst=1 vc=1) 
#    53: Port 2 recv flit 2a00400ffffdeadbeef0000000f (tail=0 dst=2 vc=1) 
#    53: Port 0 get a credit (vc=1)
#    53: Port 3 put a credit (vc=1)
#    53: Device 3 recv flit 2e00602ffffdeadbeef00000012
#    53: [DEQ] data=2a00400ffffdeadbeef00000016
#    53: [ENQ] data=2200002ffffdeadbeef0000000b
#    53: [ENQ] data=2600202ffffdeadbeef00000014
#    53: [DEQ] data=2200002ffffdeadbeef00000010
#    53: [ENQ] data=2a00400ffffdeadbeef0000000f
#    53: [DEQ] data=2e00602ffffdeadbeef00000012
#    53: [s1- t] addr=deadbeef00000010 dest=1
#    54: Port 0 send flit 3a00401ffffdeadbeef00000017 (tail=1 dst=2 vc=1)
#    54: Port 2 recv flit 2a00400ffffdeadbeef00000010 (tail=0 dst=2 vc=1) 
#    54: Port 3 recv flit 2e00602ffffdeadbeef00000016 (tail=0 dst=3 vc=1) 
#    54: Port 0 get a credit (vc=1)
#    54: Port 1 put a credit (vc=1)
#    54: Port 2 put a credit (vc=1)
#    54: Device 2 send flit 2200002ffffdeadbeef00000014
#    54: Device 1 recv flit 2600202ffffdeadbeef00000011
#    54: Device 2 recv flit 2a00400ffffdeadbeef0000000d
#    54: [DEQ] data=3a00401ffffdeadbeef00000017
#    54: [DEQ] data=2600202ffffdeadbeef00000011
#    54: [ENQ] data=2200002ffffdeadbeef00000014
#    54: [ENQ] data=2a00400ffffdeadbeef00000010
#    54: [DEQ] data=2a00400ffffdeadbeef0000000d
#    54: [ENQ] data=2e00602ffffdeadbeef00000016
#    55: Port 1 recv flit 2600202ffffdeadbeef00000015 (tail=0 dst=1 vc=1) 
#    55: Port 2 recv flit 2a00400ffffdeadbeef00000011 (tail=0 dst=2 vc=1) 
#    55: Port 0 get a credit (vc=1)
#    55: Port 0 put a credit (vc=1)
#    55: Port 3 put a credit (vc=1)
#    55: Device 0 recv flit 2200002ffffdeadbeef0000000b
#    55: Device 3 recv flit 2e00602ffffdeadbeef00000013
#    55: [DEQ] data=2200002ffffdeadbeef0000000b
#    55: [ENQ] data=2600202ffffdeadbeef00000015
#    55: [ENQ] data=2a00400ffffdeadbeef00000011
#    55: [DEQ] data=2e00602ffffdeadbeef00000013
#    55: [s1- t] addr=deadbeef00000011 dest=1
#    56: Port 3 recv flit 3e00603ffffdeadbeef00000017 (tail=1 dst=3 vc=1) 
#    56: Port 0 get a credit (vc=1)
#    56: Port 2 get a credit (vc=1)
#    56: Port 1 put a credit (vc=1)
#    56: Port 2 put a credit (vc=1)
#    56: Device 1 recv flit 2600202ffffdeadbeef00000012
#    56: Device 2 recv flit 2a00400ffffdeadbeef0000000e
#    56: [DEQ] data=2600202ffffdeadbeef00000012
#    56: [DEQ] data=2a00400ffffdeadbeef0000000e
#    56: [ENQ] data=3e00603ffffdeadbeef00000017
#    56: [s0- t] addr=deadbeef0000000b dest=0
#    57: Port 2 send flit 2200002ffffdeadbeef00000011 (tail=0 dst=0 vc=1)
#    57: Port 0 recv flit 2200002ffffdeadbeef0000000c (tail=0 dst=0 vc=1) 
#    57: Port 1 recv flit 2600202ffffdeadbeef00000016 (tail=0 dst=1 vc=1) 
#    57: Port 2 recv flit 2a00400ffffdeadbeef00000012 (tail=0 dst=2 vc=1) 
#    57: Port 0 get a credit (vc=1)
#    57: Port 2 get a credit (vc=1)
#    57: Port 3 put a credit (vc=1)
#    57: Device 3 recv flit 2e00602ffffdeadbeef00000014
#    57: [ENQ] data=2200002ffffdeadbeef0000000c
#    57: [ENQ] data=2600202ffffdeadbeef00000016
#    57: [DEQ] data=2200002ffffdeadbeef00000011
#    57: [ENQ] data=2a00400ffffdeadbeef00000012
#    57: [DEQ] data=2e00602ffffdeadbeef00000014
#    57: [s1- t] addr=deadbeef00000012 dest=1
#    58: Port 2 send flit 2200002ffffdeadbeef00000012 (tail=0 dst=0 vc=1)
#    58: Port 2 get a credit (vc=1)
#    58: Port 1 put a credit (vc=1)
#    58: Port 2 put a credit (vc=1)
#    58: Device 2 send flit 2200002ffffdeadbeef00000015
#    58: Device 1 recv flit 2600202ffffdeadbeef00000013
#    58: Device 2 recv flit 2a00400ffffdeadbeef0000000f
#    58: [DEQ] data=2600202ffffdeadbeef00000013
#    58: [ENQ] data=2200002ffffdeadbeef00000015
#    58: [DEQ] data=2200002ffffdeadbeef00000012
#    58: [DEQ] data=2a00400ffffdeadbeef0000000f
#    59: Port 2 send flit 2200002ffffdeadbeef00000013 (tail=0 dst=0 vc=1)
#    59: Port 1 recv flit 3600203ffffdeadbeef00000017 (tail=1 dst=1 vc=1) 
#    59: Port 2 recv flit 2a00400ffffdeadbeef00000013 (tail=0 dst=2 vc=1) 
#    59: Port 0 put a credit (vc=1)
#    59: Port 3 put a credit (vc=1)
#    59: Device 2 send flit 2200002ffffdeadbeef00000016
#    59: Device 0 recv flit 2200002ffffdeadbeef0000000c
#    59: Device 3 recv flit 2e00602ffffdeadbeef00000015
#    59: [DEQ] data=2200002ffffdeadbeef0000000c
#    59: [ENQ] data=3600203ffffdeadbeef00000017
#    59: [ENQ] data=2200002ffffdeadbeef00000016
#    59: [DEQ] data=2200002ffffdeadbeef00000013
#    59: [ENQ] data=2a00400ffffdeadbeef00000013
#    59: [DEQ] data=2e00602ffffdeadbeef00000015
#    59: [s1- t] addr=deadbeef00000013 dest=1
#    60: Port 2 get a credit (vc=1)
#    60: Port 1 put a credit (vc=1)
#    60: Port 2 put a credit (vc=1)
#    60: Device 2 send flit 3200003ffffdeadbeef00000017
#    60: Device 1 recv flit 2600202ffffdeadbeef00000014
#    60: Device 2 recv flit 2a00400ffffdeadbeef00000010
#    60: [DEQ] data=2600202ffffdeadbeef00000014
#    60: [ENQ] data=3200003ffffdeadbeef00000017
#    60: [DEQ] data=2a00400ffffdeadbeef00000010
#    60: [s0- t] addr=deadbeef0000000c dest=0
#    61: Port 2 send flit 2200002ffffdeadbeef00000014 (tail=0 dst=0 vc=1)
#    61: Port 0 recv flit 2200002ffffdeadbeef0000000d (tail=0 dst=0 vc=1) 
#    61: Port 2 recv flit 2a00400ffffdeadbeef00000014 (tail=0 dst=2 vc=1) 
#    61: Port 2 get a credit (vc=1)
#    61: Port 3 put a credit (vc=1)
#    61: Device 3 recv flit 2e00602ffffdeadbeef00000016
#    61: [ENQ] data=2200002ffffdeadbeef0000000d
#    61: [DEQ] data=2200002ffffdeadbeef00000014
#    61: [ENQ] data=2a00400ffffdeadbeef00000014
#    61: [DEQ] data=2e00602ffffdeadbeef00000016
#    61: [s1- t] addr=deadbeef00000014 dest=1
#    62: Port 2 send flit 2200002ffffdeadbeef00000015 (tail=0 dst=0 vc=1)
#    62: Port 0 recv flit 2200002ffffdeadbeef0000000e (tail=0 dst=0 vc=1) 
#    62: Port 2 get a credit (vc=1)
#    62: Port 1 put a credit (vc=1)
#    62: Port 2 put a credit (vc=1)
#    62: Device 1 recv flit 2600202ffffdeadbeef00000015
#    62: Device 2 recv flit 2a00400ffffdeadbeef00000011
#    62: [ENQ] data=2200002ffffdeadbeef0000000e
#    62: [DEQ] data=2600202ffffdeadbeef00000015
#    62: [DEQ] data=2200002ffffdeadbeef00000015
#    62: [DEQ] data=2a00400ffffdeadbeef00000011
#    63: Port 2 send flit 2200002ffffdeadbeef00000016 (tail=0 dst=0 vc=1)
#    63: Port 0 recv flit 2200002ffffdeadbeef0000000f (tail=0 dst=0 vc=1) 
#    63: Port 2 recv flit 2a00400ffffdeadbeef00000015 (tail=0 dst=2 vc=1) 
#    63: Port 2 get a credit (vc=1)
#    63: Port 0 put a credit (vc=1)
#    63: Port 3 put a credit (vc=1)
#    63: Device 0 recv flit 2200002ffffdeadbeef0000000d
#    63: Device 3 recv flit 3e00603ffffdeadbeef00000017
#    63: [ENQ] data=2200002ffffdeadbeef0000000f
#    63: [DEQ] data=2200002ffffdeadbeef0000000d
#    63: [DEQ] data=2200002ffffdeadbeef00000016
#    63: [ENQ] data=2a00400ffffdeadbeef00000015
#    63: [DEQ] data=3e00603ffffdeadbeef00000017
#    63: [s1- t] addr=deadbeef00000015 dest=1
#    64: Port 2 send flit 3200003ffffdeadbeef00000017 (tail=1 dst=0 vc=1)
#    64: Port 0 recv flit 2200002ffffdeadbeef00000010 (tail=0 dst=0 vc=1) 
#    64: Port 2 get a credit (vc=1)
#    64: Port 1 put a credit (vc=1)
#    64: Port 2 put a credit (vc=1)
#    64: Device 1 recv flit 2600202ffffdeadbeef00000016
#    64: Device 2 recv flit 2a00400ffffdeadbeef00000012
#    64: [ENQ] data=2200002ffffdeadbeef00000010
#    64: [DEQ] data=2600202ffffdeadbeef00000016
#    64: [DEQ] data=3200003ffffdeadbeef00000017
#    64: [DEQ] data=2a00400ffffdeadbeef00000012
#    64: [s0- t] addr=deadbeef0000000d dest=0
#    65: Port 0 recv flit 2200002ffffdeadbeef00000011 (tail=0 dst=0 vc=1) 
#    65: Port 2 recv flit 2a00400ffffdeadbeef00000016 (tail=0 dst=2 vc=1) 
#    65: Port 2 get a credit (vc=1)
#    65: Port 0 put a credit (vc=1)
#    65: Device 0 recv flit 2200002ffffdeadbeef0000000e
#    65: [ENQ] data=2200002ffffdeadbeef00000011
#    65: [DEQ] data=2200002ffffdeadbeef0000000e
#    65: [ENQ] data=2a00400ffffdeadbeef00000016
#    65: [s1- t] addr=deadbeef00000016 dest=1
#    66: Port 0 recv flit 2200002ffffdeadbeef00000012 (tail=0 dst=0 vc=1) 
#    66: Port 2 get a credit (vc=1)
#    66: Port 1 put a credit (vc=1)
#    66: Port 2 put a credit (vc=1)
#    66: Device 1 recv flit 3600203ffffdeadbeef00000017
#    66: Device 2 recv flit 2a00400ffffdeadbeef00000013
#    66: [ENQ] data=2200002ffffdeadbeef00000012
#    66: [DEQ] data=3600203ffffdeadbeef00000017
#    66: [DEQ] data=2a00400ffffdeadbeef00000013
#    66: [s0- t] addr=deadbeef0000000e dest=0
#    67: Port 2 recv flit 3a00401ffffdeadbeef00000017 (tail=1 dst=2 vc=1) 
#    67: Port 2 get a credit (vc=1)
#    67: Port 0 put a credit (vc=1)
#    67: Device 0 recv flit 2200002ffffdeadbeef0000000f
#    67: [DEQ] data=2200002ffffdeadbeef0000000f
#    67: [ENQ] data=3a00401ffffdeadbeef00000017
#    67: [s1- t] addr=deadbeef00000017 dest=1
#    68: Port 0 recv flit 2200002ffffdeadbeef00000013 (tail=0 dst=0 vc=1) 
#    68: Port 2 put a credit (vc=1)
#    68: Device 2 recv flit 2a00400ffffdeadbeef00000014
#    68: [ENQ] data=2200002ffffdeadbeef00000013
#    68: [DEQ] data=2a00400ffffdeadbeef00000014
#    68: [s0- t] addr=deadbeef0000000f dest=0
#    69: Port 0 put a credit (vc=1)
#    69: Device 0 recv flit 2200002ffffdeadbeef00000010
#    69: [DEQ] data=2200002ffffdeadbeef00000010
#    70: Port 0 recv flit 2200002ffffdeadbeef00000014 (tail=0 dst=0 vc=1) 
#    70: Port 2 put a credit (vc=1)
#    70: Device 2 recv flit 2a00400ffffdeadbeef00000015
#    70: [ENQ] data=2200002ffffdeadbeef00000014
#    70: [DEQ] data=2a00400ffffdeadbeef00000015
#    70: [s0- t] addr=deadbeef00000010 dest=0
#    71: Port 0 put a credit (vc=1)
#    71: Device 0 recv flit 2200002ffffdeadbeef00000011
#    71: [DEQ] data=2200002ffffdeadbeef00000011
#    72: Port 0 recv flit 2200002ffffdeadbeef00000015 (tail=0 dst=0 vc=1) 
#    72: Port 2 put a credit (vc=1)
#    72: Device 2 recv flit 2a00400ffffdeadbeef00000016
#    72: [ENQ] data=2200002ffffdeadbeef00000015
#    72: [DEQ] data=2a00400ffffdeadbeef00000016
#    72: [s0- t] addr=deadbeef00000011 dest=0
#    73: Port 0 put a credit (vc=1)
#    73: Device 0 recv flit 2200002ffffdeadbeef00000012
#    73: [DEQ] data=2200002ffffdeadbeef00000012
#    74: Port 0 recv flit 2200002ffffdeadbeef00000016 (tail=0 dst=0 vc=1) 
#    74: Port 2 put a credit (vc=1)
#    74: Device 2 recv flit 3a00401ffffdeadbeef00000017
#    74: [ENQ] data=2200002ffffdeadbeef00000016
#    74: [DEQ] data=3a00401ffffdeadbeef00000017
#    74: [s0- t] addr=deadbeef00000012 dest=0
#    75: Port 0 put a credit (vc=1)
#    75: Device 0 recv flit 2200002ffffdeadbeef00000013
#    75: [DEQ] data=2200002ffffdeadbeef00000013
#    76: Port 0 recv flit 3200003ffffdeadbeef00000017 (tail=1 dst=0 vc=1) 
#    76: [ENQ] data=3200003ffffdeadbeef00000017
#    76: [s0- t] addr=deadbeef00000013 dest=0
#    77: Port 0 put a credit (vc=1)
#    77: Device 0 recv flit 2200002ffffdeadbeef00000014
#    77: [DEQ] data=2200002ffffdeadbeef00000014
#    78: [s0- t] addr=deadbeef00000014 dest=0
#    79: Port 0 put a credit (vc=1)
#    79: Device 0 recv flit 2200002ffffdeadbeef00000015
#    79: [DEQ] data=2200002ffffdeadbeef00000015
#    80: [s0- t] addr=deadbeef00000015 dest=0
#    81: Port 0 put a credit (vc=1)
#    81: Device 0 recv flit 2200002ffffdeadbeef00000016
#    81: [DEQ] data=2200002ffffdeadbeef00000016
#    82: [s0- t] addr=deadbeef00000016 dest=0
#    83: Port 0 put a credit (vc=1)
#    83: Device 0 recv flit 3200003ffffdeadbeef00000017
#    83: [DEQ] data=3200003ffffdeadbeef00000017
#    84: [s0- t] addr=deadbeef00000017 dest=0
# actual:deadbeef00000000 expected:deadbeef00000000
# actual:deadbeef00000001 expected:deadbeef00000001
# actual:deadbeef00000002 expected:deadbeef00000002
# actual:deadbeef00000003 expected:deadbeef00000003
# actual:deadbeef00000004 expected:deadbeef00000004
# actual:deadbeef00000005 expected:deadbeef00000005
# actual:deadbeef00000006 expected:deadbeef00000006
# actual:deadbeef00000007 expected:deadbeef00000007
# actual:deadbeef00000008 expected:deadbeef00000008
# actual:deadbeef00000009 expected:deadbeef00000009
# actual:deadbeef0000000a expected:deadbeef0000000a
# actual:deadbeef0000000b expected:deadbeef0000000b
# actual:deadbeef0000000c expected:deadbeef0000000c
# actual:deadbeef0000000d expected:deadbeef0000000d
# actual:deadbeef0000000e expected:deadbeef0000000e
# actual:deadbeef0000000f expected:deadbeef0000000f
# actual:deadbeef00000010 expected:deadbeef00000010
# actual:deadbeef00000011 expected:deadbeef00000011
# actual:deadbeef00000012 expected:deadbeef00000012
# actual:deadbeef00000013 expected:deadbeef00000013
# actual:deadbeef00000014 expected:deadbeef00000014
# actual:deadbeef00000015 expected:deadbeef00000015
# actual:deadbeef00000016 expected:deadbeef00000016
# actual:deadbeef00000017 expected:deadbeef00000017
# Pass 2
# actual:deadbeef00000000 expected:deadbeef00000000
# actual:deadbeef00000001 expected:deadbeef00000001
# actual:deadbeef00000002 expected:deadbeef00000002
# actual:deadbeef00000003 expected:deadbeef00000003
# actual:deadbeef00000004 expected:deadbeef00000004
# actual:deadbeef00000005 expected:deadbeef00000005
# actual:deadbeef00000006 expected:deadbeef00000006
# actual:deadbeef00000007 expected:deadbeef00000007
# actual:deadbeef00000008 expected:deadbeef00000008
# actual:deadbeef00000009 expected:deadbeef00000009
# actual:deadbeef0000000a expected:deadbeef0000000a
# actual:deadbeef0000000b expected:deadbeef0000000b
# actual:deadbeef0000000c expected:deadbeef0000000c
# actual:deadbeef0000000d expected:deadbeef0000000d
# actual:deadbeef0000000e expected:deadbeef0000000e
# actual:deadbeef0000000f expected:deadbeef0000000f
# actual:deadbeef00000010 expected:deadbeef00000010
# actual:deadbeef00000011 expected:deadbeef00000011
# actual:deadbeef00000012 expected:deadbeef00000012
# actual:deadbeef00000013 expected:deadbeef00000013
# actual:deadbeef00000014 expected:deadbeef00000014
# actual:deadbeef00000015 expected:deadbeef00000015
# actual:deadbeef00000016 expected:deadbeef00000016
# actual:deadbeef00000017 expected:deadbeef00000017
# Pass 3
# actual:deadbeef00000000 expected:deadbeef00000000
# actual:deadbeef00000001 expected:deadbeef00000001
# actual:deadbeef00000002 expected:deadbeef00000002
# actual:deadbeef00000003 expected:deadbeef00000003
# actual:deadbeef00000004 expected:deadbeef00000004
# actual:deadbeef00000005 expected:deadbeef00000005
# actual:deadbeef00000006 expected:deadbeef00000006
# actual:deadbeef00000007 expected:deadbeef00000007
# actual:deadbeef00000008 expected:deadbeef00000008
# actual:deadbeef00000009 expected:deadbeef00000009
# actual:deadbeef0000000a expected:deadbeef0000000a
# actual:deadbeef0000000b expected:deadbeef0000000b
# actual:deadbeef0000000c expected:deadbeef0000000c
# actual:deadbeef0000000d expected:deadbeef0000000d
# actual:deadbeef0000000e expected:deadbeef0000000e
# actual:deadbeef0000000f expected:deadbeef0000000f
# actual:deadbeef00000010 expected:deadbeef00000010
# actual:deadbeef00000011 expected:deadbeef00000011
# actual:deadbeef00000012 expected:deadbeef00000012
# actual:deadbeef00000013 expected:deadbeef00000013
# actual:deadbeef00000014 expected:deadbeef00000014
# actual:deadbeef00000015 expected:deadbeef00000015
# actual:deadbeef00000016 expected:deadbeef00000016
# actual:deadbeef00000017 expected:deadbeef00000017
# Pass 0
# actual:deadbeef00000000 expected:deadbeef00000000
# actual:deadbeef00000001 expected:deadbeef00000001
# actual:deadbeef00000002 expected:deadbeef00000002
# actual:deadbeef00000003 expected:deadbeef00000003
# actual:deadbeef00000004 expected:deadbeef00000004
# actual:deadbeef00000005 expected:deadbeef00000005
# actual:deadbeef00000006 expected:deadbeef00000006
# actual:deadbeef00000007 expected:deadbeef00000007
# actual:deadbeef00000008 expected:deadbeef00000008
# actual:deadbeef00000009 expected:deadbeef00000009
# actual:deadbeef0000000a expected:deadbeef0000000a
# actual:deadbeef0000000b expected:deadbeef0000000b
# actual:deadbeef0000000c expected:deadbeef0000000c
# actual:deadbeef0000000d expected:deadbeef0000000d
# actual:deadbeef0000000e expected:deadbeef0000000e
# actual:deadbeef0000000f expected:deadbeef0000000f
# actual:deadbeef00000010 expected:deadbeef00000010
# actual:deadbeef00000011 expected:deadbeef00000011
# actual:deadbeef00000012 expected:deadbeef00000012
# actual:deadbeef00000013 expected:deadbeef00000013
# actual:deadbeef00000014 expected:deadbeef00000014
# actual:deadbeef00000015 expected:deadbeef00000015
# actual:deadbeef00000016 expected:deadbeef00000016
# actual:deadbeef00000017 expected:deadbeef00000017
# Pass 1
# ** Note: $finish    : testbench_sample_axi4stream.sv(74)
#    Time: 1110 ns  Iteration: 0  Instance: /CONNECT_testbench_sample_axi4stream
# End time: 10:47:19 on Jun 08,2022, Elapsed time: 0:00:01
# Errors: 0, Warnings: 0
This post is licensed under CC BY 4.0 by the author.